在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1548|回复: 3

[求助] generated clock的定义问题

[复制链接]
发表于 2020-6-10 13:16:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想请教下图的generated clock,为啥右边是错误定义,左边才是正确定义?如何定义不应该是和Q的初始状态有关吗?也就是说左右都有可能存在,要看Q在T=0的前一个时刻的初始状态
微信图片_20200610130044.png
发表于 2020-6-10 16:43:55 | 显示全部楼层
clk下降沿触发Q,右边波形不对
发表于 2020-6-10 18:17:39 | 显示全部楼层
需要加入 -preinvert选项
发表于 2020-6-11 17:31:09 | 显示全部楼层
generated clock與source clock的相位關係要定義正確
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 01:26 , Processed in 0.022736 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表