在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...2345 james2007 2024-2-23 4922758 nicolast86 6 天前
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 712395 nicolast86 2024-4-26 16:24
全局置顶 隐藏置顶帖 从RTL到GDSII IC设计、IP协同管理专属培训(五星级酒店 南京 苏州 上海 合肥 杭州 等 attach_img jackzhang 2024-4-11 12809 nicolast86 2024-4-26 15:30
全局置顶 隐藏置顶帖 忆阻器、第三代半导体等半导体材料与器件讲坛(资料+视频) jackzhang 2024-3-8 011078 jackzhang 2024-3-15 09:26
  版块主题   
求高手 块RAM使用问题 mlk123 2012-11-26 93490 wjzhe 2019-4-18 19:51
Xilinx Zynq 有奖征文  ...23 jackzhang 2013-1-5 276856 quq 2013-2-18 15:19
什么中奖什么的 芯涌澎湃 2013-1-17 11406 芯涌澎湃 2013-1-17 15:38
信任报道~ zasdfdd 2012-12-28 11303 diracwang 2013-1-5 11:55
wo我发撒旦富士达撒旦防守对方 icewan 2012-10-19 31894 yuanye19891221 2013-1-3 20:05
9~10月获奖结果 jackzhang 2012-10-12 46045 haiyou 2012-12-20 12:23
XILINX设计分享及创意活动最新进展及获奖名单(更新至7月8日) jackzhang 2012-7-4 73514 simm10 2012-12-12 10:47
怎么获奖啊 coxstreet 2012-9-25 11913 bushiwawa 2012-11-6 10:20
移动:Xilinx 7系列FPGA使用之CLB探索【再续】 huxiaokai2005 2012-6-18 -69 匿名 1970-1-1 08:00
移动:【更新,参加7月期】基于Xilinx Spartan-3E的真随机数发生器的设计 huiyuanai3 2012-6-25 -5 匿名 1970-1-1 08:00
移动:如何选择复位方式 huxiaokai2005 2012-6-25 -69 匿名 1970-1-1 08:00
移动:基于Spartan-6 FPGA的DDR2设计经验总结 wdzfd 2012-6-25 -59 匿名 1970-1-1 08:00
移动:【zynq征文】基于AXI的自定义IP设计 huxiaokai2005 2013-1-31 -66 匿名 1970-1-1 08:00
移动:【zynq征文】+zedboard测评 gaochy1126 2013-2-1 -58 匿名 1970-1-1 08:00
移动:[Zynq征文]Zynq开发流程(新年首发) wdzfd 2013-2-17 -65 匿名 1970-1-1 08:00
移动:【zynq征文】Zynq在Vivado的应用、源码 gaochy1126 2013-2-20 -61 匿名 1970-1-1 08:00
移动:【zynq征文】Zynq的编程参考设计 gaochy1126 2013-2-20 -59 匿名 1970-1-1 08:00
移动:【Zynq征文】ZedBoard典型运用电路图 gaochy1126 2013-2-20 -43 匿名 1970-1-1 08:00
移动:【Zynq征文】Zynq的网络摄像头的设计 gaochy1126 2013-2-20 -0 匿名 1970-1-1 08:00
移动:【zynq征文】基于ZedBoard Linux应用设计 huxiaokai2005 2013-2-27 -38 匿名 1970-1-1 08:00
移动:[Zynq征文]Zynq器件时钟子系统介绍(原创) wdzfd 2013-2-27 -85 匿名 1970-1-1 08:00
移动:【Zynq征文】ZedBoard入手体验--使用Zynq-7000的PS与PL进行交互例程 gaochy1126 2013-2-28 -68 匿名 1970-1-1 08:00
移动:ISE13.4中利用IP generator生成了一个fifo,9K Block RAM的错误 harrygood553 2013-4-1 -23 匿名 1970-1-1 08:00
移动:急急急!!!Xilinx RapidIO IP核示例设计问题 hzywlx 2013-4-3 -17 匿名 1970-1-1 08:00
移动:Quartus9.1软件的安装包和破解包 9065574912 2013-5-18 -15 匿名 1970-1-1 08:00
移动:An Overview of DPD Algorithm and Techniques 691564736 2013-5-25 -85 匿名 1970-1-1 08:00
移动:谁有Xilinx ISE Design Suite 10.x FPGA开发指南-逻辑设计篇的CDROM的资料 691564736 2013-5-25 -48 匿名 1970-1-1 08:00
移动:用ISE14.4跑V7,停在map,不报错 xiaoxiaocake 2013-6-21 -47 匿名 1970-1-1 08:00
移动:Xilinx Scaler求助 zixuan1982 2013-7-9 -85 匿名 1970-1-1 08:00
移动:参与XILINX ZYNQ有奖问答赢取LX9开发板,FPGA图书,200信元! jackzhang 2014-1-16 -36 匿名 1970-1-1 08:00
移动:ansoft与ansys耦合电机热分析 ufo12530 2014-5-2 -69 匿名 1970-1-1 08:00
移动:通信中星座图简介 691564736 2013-5-25 -79 匿名 1970-1-1 08:00
移动:试用BASYS2开发板 wdzfd 2012-6-14 -52 匿名 1970-1-1 08:00
移动:Xilinx 7系列FPGA使用之CLB探索【续】 huxiaokai2005 2012-6-15 -69 匿名 1970-1-1 08:00
移动:简易数字NPLL频谱显示设计基于NEXYS 3平台 laokai 2012-6-21 -95 匿名 1970-1-1 08:00
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 12:06 , Processed in 0.027386 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块