|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
scl版本:2023.09
vcs版本:2023.12-SP1
运行命令:vcs -full64 wenchuan.v
test.v:
/-----------------------------------------------------------------------------------------------------------
module test(
// Input
input wire clk ,
input wire rst_n ,
input wire a ,
input wire b ,
// Output
output reg c
);
always @(posedge clk or negedge rst_n)begin
if(!rst_n) c <= 1'b0;
else c <= a & b;
end
endmodule
出现问题:
/-----------------------------------------------------------------------------------------------------------
Chronologic VCS (TM)
Version V-2023.12-SP1_Full64 -- Wed Jan 8 22:47:56 2025
Copyright (c) 1991 - 2024 Synopsys, Inc.
This software and the associated documentation are proprietary to Synopsys,
Inc. This software may only be used in accordance with the terms and conditions
of a written license agreement with Synopsys, Inc. All other use, reproduction,
or distribution of this software is strictly prohibited. Licensed Products
communicate with Synopsys servers for the purpose of providing software
updates, detecting software piracy and verifying that customers are using
Licensed Products in conformity with the applicable License Key for such
Licensed Products. Synopsys will use information gathered in connection with
this process to deliver software updates and pursue software pirates and
infringers.
Inclusivity & Diversity - Visit SolvNetPlus to read the "Synopsys Statement on
Inclusivity and Diversity" (Refer to article 000036315 at
https://solvnetplus.synopsys.com)
Got SIGSEGV
An unexpected termination has occurred in /home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/bin/vcs1 due to a signal: Segmentation fault
Hostname DESKTOP-SIR22V0
During setup for compilation
Command line: /home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/bin/vcs1 -Mcc=gcc -Mcplusplus=g++ -Masflags= "-Mcfl= -pipe -fPIC -O -I/home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/include " -Mxllcflags= "-Mxcflags= -pipe -fPIC -I/home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/include" "-Mldflags= -rdynamic " -Mout=simv -Mamsrun= -Mvcsaceobjs= "-Mobjects= /home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/lib/libvirsim.so /home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/lib/liberrorinf.so /home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/lib/libsnpsmalloc.so /home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/lib/libvfs.so " -Mexternalobj= -Msaverestoreobj=/home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/lib/vcs_save_restore_new.o -Mcrt0= -Mcrtn= -Mcsrc= "-Msyslibs=-ldl " -full64 -picarchive -gen_obj test.v
--- Stack trace follows:
[Thread debugging using libthread_db enabled]
Using host libthread_db library "/lib64/libthread_db.so.1".
0x0000155553c76aab in waitpid () from /lib64/libc.so.6
#0 0x0000155553c76aab in waitpid () from /lib64/libc.so.6
#1 0x0000155553bf24af in do_system () from /lib64/libc.so.6
#2 0x00001555554c3349 in SNPSle_4f1eca636f186904 () from /home/sxc/Tools/Synopsys/vcs/V-2023.12-SP1/linux64/bin/../lib/libpreload.so
#3 0x000000000a579f10 in tracker::StackAnnotator::getGdbOutput(char const*, char const*, char const*, char const*) ()
#4 0x000000000a57a520 in tracker::StackAnnotator::dumpStackByGdb(char const*, char const*, bool) ()
#5 0x000000000a57bb5a in tracker::StackAnnotator::dumpProcessStack(unsigned int, char const*, char const*, bool) ()
#6 0x000000000a56c373 in ?? ()
#7 0x0000000003577c37 in stackTraceHandler ()
#8 0x000000000357cb7d in SigHandler ()
#9 0x0000000009f905de in ?? ()
#10 <signal handler called>
#11 0x0000000000000000 in ?? ()
#12 0x0000000002a8da87 in N2dyqkEfHYq2NgX ()
#13 0x0000000002ab6506 in TWV0FYI9QlDt2H4 ()
#14 0x0000000002a8cf5c in c5gZqoQbpz1HzLP ()
#15 0x0000000002ab1d09 in K2MJvUS0SnauVB ()
#16 0x0000000002a9cbb0 in KSmjJrtmFGE89nx ()
#17 0x0000000002a9dc3d in Vi9wVDbIr1poz5K ()
#18 0x0000000002a8417a in ?? ()
#19 0x0000000002a8449f in q4B4ASScVBC3CiY ()
#20 0x0000000002a874eb in LZLo3lgWvbv8 ()
#21 0x0000000002a7e183 in c6obzhUAjviQHlJ ()
#22 0x0000000002a7eb5f in S6d9TcUheexaJHD ()
#23 0x0000000002a7f7f5 in scl_lc_checkout ()
#24 0x0000000002a70902 in vcs_checkout ()
#25 0x0000000002a69897 in ?? ()
#26 0x0000000002a6b814 in DoLicenseCheckout ()
#27 0x0000000002a6ba64 in ?? ()
#28 0x0000000002a6bd0d in CheckoutBaseLicense ()
#29 0x0000000002a67c37 in MrHsEZHZnDf27U7LvMjZwrcB ()
#30 0x0000000003091970 in CheckVlogLicense ()
#31 0x000000000309506e in ?? ()
#32 0x00000000029ce513 in main ()
[Inferior 1 (process 13049) detached]
Process VmPeak: 356696 kb, VmSize: 355896 kb
System Free Memory: 13881680 kb, System Free Swap: 4194036 kb
Completed context dump phase
CPU time: 1.190 seconds to compile
|
|