在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2032|回复: 4

推荐一个研究STT-RAM用的模型

[复制链接]
发表于 2015-3-11 11:23:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
给大家推荐一个研究STT-RAM用的模型,可以模拟不同MTJ配置下STT-RAM的延迟和功耗特性。
模型由University of Virginia开发,使用hspice 2011编译 mtj_hplp_1.0.0.zip (80.2 KB, 下载次数: 24 )
发表于 2015-3-11 19:38:27 | 显示全部楼层
下来看看
发表于 2015-5-8 19:15:20 | 显示全部楼层
你好,我怎么运行时报错呀?
hsp-vacomp: Warning: Variable 'min_float' in module 'ElectronTrans' is never set.

hsp-vacomp: Warning: Variable 'min_float' in module 'IncUpTransDown' is never set.

hsp-vacomp: Warning: Variable 'min_float' in module 'IncUpTransUp' is never set.

hsp-vacomp: Warning: Variable 'min_float' in module 'IncDownTransUp' is never set.

hsp-vacomp: Warning: Variable 'min_float' in module 'IncDownTransDown' is never set.

hsp-vacomp: Error: Nodes which are accessed must have a discipline specified.

hsp-vacomp:         ['MTJ.va',200]


** error **
During Verilog-A Device processing:
    Failed to compile the Verilog-A File,
        'c:\users\zhy\desktop\eetop.cn_mtj_hplp_1.0.0\MTJ.va'.

  lic: Release hspice token(s)
你遇到过这种情况吗?
发表于 2017-4-18 16:38:39 | 显示全部楼层
你好,运行时报错了这种算是什么情况?
hsp-vacomp: Synopsys HSPICE Verilog-A Compiler Version 1.52.021608.

hsp-vacomp: Copyright (C) 2008 Synopsys, Inc. All Rights Reserved.

hsp-vacomp:

hsp-vacomp: Error: syntax error

hsp-vacomp:         ['MTJ.va',24]

hsp-vacomp:           real rand_noise = 0.0;              //Random number sequence variable

hsp-vacomp:                           ^


** error **
During Verilog-A Device processing:
    Failed to generate the loadable component names file from the Verilog-A File,
         'MTJ.va'.


               ***** job aborted


还有,MTJ.va文件中,
`include "disciplines.vams"
`include "constants.vams"
这两个文件是什么?怎么没有?
发表于 2018-1-10 16:48:20 | 显示全部楼层
下来看看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 02:23 , Processed in 0.044744 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表