在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
查看: 2526|回复: 8

[原创] 让你的UltraEdit支持Verilog和VHDL高亮显示的方法!!

[复制链接]
发表于 2010-4-24 11:45:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
UltraEdit 语法高亮配置文件,只需把下列内容添加到你的wordfile文件后,就可以了。注意:放入wordlist文件夹就可以了,文件中中第一行L后面的数字代表序号,要保证新添加的值是最大的,比如上一个L后面是13,那你添加的就写成14,如果以后还有新的格式就写成15,如此类推。

以后看代码就好看多了!!

uew.rar

3.66 KB, 下载次数: 36 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2010-4-24 12:05:47 | 显示全部楼层
xiexie
发表于 2010-4-24 12:21:37 | 显示全部楼层
没整明白......
发表于 2010-4-25 13:44:12 | 显示全部楼层
好的,多谢分享哦!
发表于 2010-8-18 11:49:10 | 显示全部楼层
没明白 啊
发表于 2010-8-18 12:10:52 | 显示全部楼层
我也没明白
发表于 2011-3-27 18:07:32 | 显示全部楼层
谢谢。。
发表于 2012-9-1 08:20:58 | 显示全部楼层
謝謝的啦
发表于 2012-9-1 09:18:06 | 显示全部楼层
我都是用notepad++  也是不錯用
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 12:40 , Processed in 0.038353 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表