在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2349|回复: 11

FPGA电梯控制

[复制链接]
发表于 2009-7-7 11:01:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
FPGA电梯控制

基于FPGA的自动升降电梯控制器设计.pdf

149.89 KB, 下载次数: 119 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2009-7-7 15:38:36 | 显示全部楼层
geggergeeeeeeeeeee
发表于 2009-12-11 15:36:30 | 显示全部楼层
谢谢 哈 楼主
发表于 2009-12-14 14:35:24 | 显示全部楼层
看看啊好东西啊
发表于 2009-12-14 19:13:02 | 显示全部楼层
下来看看吧
发表于 2009-12-22 15:34:55 | 显示全部楼层
谢谢了  楼主
发表于 2010-1-2 10:52:31 | 显示全部楼层
谢谢了
发表于 2010-5-25 17:03:43 | 显示全部楼层
谢谢楼主分享
发表于 2011-12-19 22:02:14 | 显示全部楼层
好 ,谢谢了
发表于 2012-4-10 12:46:32 | 显示全部楼层
多谢楼主的分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 14:14 , Processed in 0.208708 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表