在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2196|回复: 5

[求助] UVM的phase的执行顺序是什么?从哪个树叶开始执行?

[复制链接]
发表于 2020-12-16 22:43:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 china12321 于 2020-12-17 15:05 编辑

已知:除了build_phase的执行顺序是树根到树叶,所有其他phase的执行顺序都是  从树叶到树根【即 从下到上】。
问题:这么多树叶,connect_phase从哪个树叶开始执行?如果不同的分支下的树叶的实例化名称相同,先从哪个树叶开始执行?
比如,对于connect_phase,在env里有a_agent和b_agent;那么
      a_agent.a_mon的connect_phase和
      b_agent.a_mon的connect_phase,哪个先执行?
依据是什么?
谢谢!

发表于 2020-12-17 08:59:53 | 显示全部楼层
张强的《uvm实战》说的好像是按照实例化名以字母的顺序先后执行的,具体没有考证过,也没有必要去考证
 楼主| 发表于 2020-12-17 15:00:30 | 显示全部楼层
本帖最后由 china12321 于 2020-12-17 15:02 编辑


zhuyi1234567899 发表于 2020-12-17 08:59
张强的《uvm实战》说的好像是按照实例化名以字母的顺序先后执行的,具体没有考证过,也没有必要去考证 ...


谢谢回答。
对于connect_phase的执行顺序,
如果相同层次的树叶  的实例化名称相同,要先执行哪个【比如a_agent.a_mon 和 b_agent.a_mon】?
还有这么多树叶,他们的层次有多有少,要先执行哪个?比如env.a_component 和env.agent.a_component ?


发表于 2020-12-17 15:18:19 | 显示全部楼层
实践下不就知道了……
发表于 2020-12-17 17:56:38 | 显示全部楼层
确实UVM实践里面提到过,认真分析了这种不同层次该如何执行,可以好好去看看。
发表于 2020-12-17 18:28:19 | 显示全部楼层
除了build_phase,final_phase也是topdown phase啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 21:21 , Processed in 0.022203 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表