在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2682|回复: 3

[求助] UVM 如何处理reset

[复制链接]
发表于 2017-6-23 14:37:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
UVM driver的大多数例子都是讲如何和sequencer互动的,都是同步信号。如果有异步reset的话,UVM应该如何处理?比如,driver处理到一半,来一个reset,如何?
发表于 2017-6-23 15:11:06 | 显示全部楼层
可以下一个reset_sequence在reset_phase执行.
发表于 2017-6-23 18:07:53 | 显示全部楼层
回复 1# yuanpin318

Phase jumping
http://www.sunburst-design.com/papers/HunterSNUGSV_UVM_Resets_paper.pdf
发表于 2017-6-23 18:27:32 | 显示全部楼层
单纯的reset,uvm本身不会做任何处理,需要用户自己去做相关的操作,从dut角度分析,来了reset就把整个dut的状态全部reset了,那从testbench角度就是要重新对dut进行初始化以及别的配置,可以认为需要把整个case rerun一遍
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 01:38 , Processed in 0.015445 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表