在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1626|回复: 3

[求助] 求助verilog task重用的问题

[复制链接]
发表于 2016-10-31 10:31:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x




  1. verilog 的task是在task结束时才将值通过output输出,所以假如tb中要对DUT一个输入端口施加激励波形,应该用全局变量的方式在task中直接对其操作,而不是用task的output端口传递。。
  2. 那问题来了,我的设计有6组同样的信号,我需要写6遍类似的task来分别对这6组信号进行操作吗,是不是应该有更简洁的处理方法。望高手不吝赐教,先谢过了。


复制代码
发表于 2016-10-31 16:13:24 | 显示全部楼层
你直接call 6个task不就行了?
 楼主| 发表于 2016-11-2 10:18:39 | 显示全部楼层
感谢你的回答。我前面说了,task里是对DUT的一个端口操作,调用6遍task还是对这一个端口,而不是对6个端口操作。
我自己找到的办法是,写一个model,其输入输出对应dut的相应输出输入,把task写在里面,task对该model的端口信号进行操作,然后tb顶层例化该model 6次,并与dut的对应端口连接好。
这是我的解决办法,不知道是不是还有更好的办法,请高手赐教。
发表于 2016-11-2 10:26:13 | 显示全部楼层
不用这么麻烦吧,写一个带输入输出的task不就能代替你写的这个model了么。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 20:39 , Processed in 0.017510 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表