在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1971|回复: 1

[求助] 时钟沿采样数据问题(有时采样沿前数据有时采样沿后数据)

[复制链接]
发表于 2016-7-12 22:52:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在搭uvm环境写driver的时候碰到的问题,用时钟沿去采样接口信号,有些信号采样到沿前的值有些信号采样到沿后的值,导致在写driver时都不确定到底是采样到了沿前还是沿后的值,不知是哪个地方的问题,有碰到过类似问题的朋友是否能够帮我解答下,需要如何解决才能所有接口信号都采样到沿前的值,多谢了!
发表于 2016-7-13 11:48:49 | 显示全部楼层
在interface中,使用clocking来对所有输出信号加一个正延迟,对所有输入信号加一个负延迟。
interface ....
clocking mck @(posedge clock);
default output #0.1ns;  // 所有output在clock的上升沿0.1ns之后更新
default input #0.1ns;  //所有input在clock上升钱之前0.1ns采样
input ...
output ...
endclocking
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 18:43 , Processed in 0.018506 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表