在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1970|回复: 3

[求助] 关于UVM的my_driver问的求助~~~~

[复制链接]
发表于 2016-4-28 16:40:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
使用UVM的时候关于my_driver报了一个错误,my_driver 的第一行这样写的
     class my_driver extends uvm_driver #(my_transaction)
       报错为:
       Identifier "my_transaction" has not been declared yet .If this error is not expected ,please check if you have set default_nettype to none
      我已经编写了my_transaction.sv文件,而且将其加入到了top_tb.sv文件中,这点令我不解,求各位指导~~~~
发表于 2016-4-29 15:13:45 | 显示全部楼层
my_transaction 有在 my_transaction.sv中使用`uvm_object_utils_begin(my_transaction)
                                                                       `uvm_object_utils_end
注册过吗?
发表于 2016-4-29 17:44:49 | 显示全部楼层
声明类 并且注册到uvm中去 楼上正解
 楼主| 发表于 2016-5-3 18:48:59 | 显示全部楼层
回复 2# huilongcha


   使用了,已经解决,谢谢您~~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 17:25 , Processed in 0.019935 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表