在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2658|回复: 1

[求助] modesim设置UVM环境的时候出现这个,怎么办!紧急!紧急!求助!求助!高回报!

[复制链接]
发表于 2015-8-27 12:54:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

modesim设置uvm环境

modesim设置uvm环境


3.配置系统环境变量。打开modelsim,在vsim>命令行下输入
vsim n>set UVM_HOME c:/software/modeltech_10.1a/verilog_src/uvm-1.1d
vsim n>set MODEL_TECH c:/software/modeltech_10.1a/win32  
(也可以设置为系统环境变量)4.编译uvm_1.1d库文件。所谓编译库文件,我的理解是将uvm_1.1d编译成windows中modelsim可以加载的dll文件,因此这一个步骤是生成一个.dll文件的过程。这里需要GCC的编译命令,最新的是(modelsim-gcc-4.2.1-mingw32vc9)

解压缩后,复制到modelsim安装目录下,我的复制目录是(C:\software\modeltech_10.1a\gcc-4.2.1-mingw32vc9),打开该文件后能够直接看到bin/doc/include/info/lib/libexec/man/share文件夹,上面这些基本工作做完后,就可以编译.dll文件了。
5.在vsim命令行下输入
vsim n>c:/software/modeltech_10.1a/gcc-4.2.1-mingw32vc9/bin/g++.exe -DQUESTA -W -shared -Bsymbolic -I $MODEL_TECH/../include  $UVM_HOME/src/dpi/uvm_dpi.cc -o  $UVM_HOME/lib/uvm_dpi.dll $MODEL_TECH/mtipli.dll -lregex
注意,要先在$UVM_HOME目录下创建lib文件夹。




小弟我在做到这一步的时候出现了这个情况,由于才疏学浅,不是很明白是为什么出错(图片为证),我自己查看了一下,我的路径变量定义的也没有问题,文件也没有问题,我在怀疑是不是gcc编译的这个包里面出错,本来想把这个问题越过去,但还是担心在以后使用uvm的时候会出错,所以请大神帮助,小弟很着急,有关前途啊 !! 可能我描述的不是很好,但您可以看一下图片就能明白是怎么回事啦! 谢谢!帮帮忙!
发表于 2015-12-30 22:47:45 | 显示全部楼层
此帖仅作者可见
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 15:25 , Processed in 0.020230 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表