在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7803|回复: 9

[求助] 关于AMS仿真的问题,在做不出来老师就让我退学了啊

[复制链接]
发表于 2014-12-17 15:15:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我做混合信号的方针,在最后一部运行仿真的是出现的问题,提示错误是error encountered during  NC elabora for configuration single_ad_sim:config
在线求解答啊,拜托各位大神帮助下把,老师明天就要结果了,在做不出来我就得退学了啊
aass.PNG
捕sss获.PNG
发表于 2014-12-17 15:19:41 | 显示全部楼层
回复 1# 20112111


   这条信息告诉你发生错误,但是没告诉你发生了什么错误,最好找到error的内容.   另外退学多好啊,减少沉没成本,早早转行
 楼主| 发表于 2014-12-17 17:44:46 | 显示全部楼层
Elaborating single_ad2.daceshi_sim:config -
  ncelab single_ad2.daceshi_sim:config -snapshot daceshi_sim:ams1418800128141 -cdslib /home/cadence/cds.lib single_ad2.cds_globals:daceshi_sim_config  -errormax 50 -discipline logic -timescale 1ns/1ns -noparamerr -use5x4vhdl -status -delay_mode None -novitalaccl -update -omicheckinglevel Standard -access +r-w-c
ncelab: 05.60-p001: (c) Copyright 1995-2005 Cadence Design Systems, Inc.
DEFINE gg /home/cadence/gg
|
ncelab: *W,DLCPTH (/home/cadence/cds.lib,70): cds.lib Invalid path '/home/cadence/gg' (cds.lib command ignored).
ncelab: *W,DLNOHV: Unable to find an 'hdl.var' file to load in.
ncelab: *internal* (sv_seghandler - trapno -1).
Please contact Cadence Design Systems about this problem
        and provide enough information to help us reproduce it.
ncelab: Memory Usage - 13.7M program + 43.8M data = 57.5M total
ncelab: CPU Usage - 0.0s system + 0.0s user = 0.0s total (0.0s, 100.0% cpu)
Error encountered during NC elaboration for configuration single_ad2.daceshi_sim:config.
发表于 2014-12-18 20:19:52 | 显示全部楼层
回复 3# 20112111

不许学我亲老师的经典名言.......
发表于 2014-12-18 21:13:19 | 显示全部楼层
我经常遇到类似问题,根据log寻找线索!
发表于 2014-12-18 21:15:16 | 显示全部楼层
bbbhhgjhj
发表于 2014-12-30 18:46:41 | 显示全部楼层
退学好啊,早点转行
发表于 2014-12-30 20:22:51 | 显示全部楼层
回复 3# 20112111


   hdl.var 没有设置好?
发表于 2014-12-30 23:20:21 | 显示全部楼层
恭喜转行
发表于 2015-1-13 14:42:44 | 显示全部楼层
学姐,你后来是怎样解决的。BJTU classmate
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 15:25 , Processed in 0.028662 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表