在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6841|回复: 3

[求助] 求助:systemverilog中数组可以使用push类似的操作么?

[复制链接]
发表于 2012-6-28 14:51:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
     最近才开始学习systemverilog,有个问题想问问大家,systemverilog中的动态数组可以像C++中的数组一样,来一个数值,往里面push一个,然后取数的时候用pop?我现在需要这种操作,但是翻了翻书,好像没说systemverilog的动态数组可以这样,所以不敢乱用。所以,上来问问。如果使用动态数组不行,那么应该使用什么来这样操作呢?
发表于 2012-6-28 23:44:41 | 显示全部楼层
有队列,书上应该提到的。队列的操作才是这样的。push or pop,数组没有这种操作,当然队列其实也是一个特殊的数组。呵呵。
 楼主| 发表于 2012-6-29 14:33:37 | 显示全部楼层
恩。队列我知道了。
好像还有链表可以,但是不知道链表是不是systemverilog所推荐的。
发表于 2012-6-29 21:41:30 | 显示全部楼层
这个你写了仿一下试试就知道了。
systemverilog只是搭testbench的话,这些具体的实现没什么风格不风格的吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 16:25 , Processed in 0.016375 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表