在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3220|回复: 2

[求助] 求助,帮忙注释几行sv的代码

[复制链接]
发表于 2011-9-27 16:46:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
property cmd_onehot;                        
      @(cb) disable iff (sys_reset) (cmd_vect != 0) |-> $onehot(cmd_vect);
endproperty

assert property (cmd_onehot)            else $error ("command         overlaped violation error");     

这是用sv写的一个tb里的几行,猜测意思是cmd_onehot不是1的时候就出错,打印$error信息。
但是这几行具体的语法是怎样的,请高手给解释一下吧。O(∩_∩)O谢谢!刚接触sv,看sv的LRM也是一头雾水。

另外,|->和|=>这两个是什么作用,也没看懂。
发表于 2011-9-28 08:53:00 | 显示全部楼层
伪代码如下:
always @(cb) begin
    if(sys_reset) begin
         断言空成功
    end
    else begin
        if(cmd_vect != 0) begin
             if(cmd_vect只有一位为1) begin  //独热码状态机
                  断言成功
             end
             else begin
                  断言失败
             end
        end
        else begin
             断言空成功
        end
    end
end

|-> 左边条件成立的情况下,立即判断右边条件是否成立
|=> 左边条件成立的情况下,在下一个采样时钟沿判断右边条件是否成立
 楼主| 发表于 2011-9-28 09:33:24 | 显示全部楼层
回复 2# TommyGG


    O(∩_∩)O谢谢指点!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 12:24 , Processed in 0.015967 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表