在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5714|回复: 12

[求助] ATPG 仿真不过

[复制链接]
发表于 2018-12-27 10:36:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教下,我的vcs后仿真报Timing violation的错,导致atpg后防不过,不加sdf是过的。可是在pt中timing是clean的,请问一般这个怎么处理啊报的错误是
Error during  multiclock_captureSTmt  pattern 7

Timing violation  in **.reg  $setuphold (posedge CK &&  (SandRandSE == 1'b1))  3685946 ns,negedge SI: 3685946  ns,
limits : (1.00ns   ,0.00ns)





发表于 2018-12-27 21:24:29 | 显示全部楼层
vcs +no_timing_check +delay_mode_zero
 楼主| 发表于 2018-12-27 21:40:01 | 显示全部楼层
回复 2# chengroc


   你好 谢谢回复,zero的情况下是可以过的,带sdf不过,我看了下仿真用model, RN是hold格式,但是其他端口是setuphold格式,sdf格式无法统一,怎样可以写成符合smic018_neg.v的sdf呢,因为sdf要么setuphold,要么是setup or hold ,谢谢
发表于 2019-1-7 19:38:21 | 显示全部楼层
回复 3# fangwang85


    PT 是只check 了 normal function, 还是把scan mode的path 也clean 了 ?
如果PT check 了 SCAN path, 而且你仿真 也吃了SDC , 还timing violation ,就要具体问题具体分析了
 楼主| 发表于 2019-1-7 20:59:16 | 显示全部楼层
回复 4# flow2000a


   谢谢回复,pt 吃scan mode的sdc,吐出sdf。vcs后防吃这个sdf。不知道流程对不对?我怀疑是sdf的问题
发表于 2019-1-8 19:07:20 | 显示全部楼层
你出pattern 的时候也要吃 SDC 吧 , 否则 ATPG tool 怎么知道哪些是false path 和 multi cycle
 楼主| 发表于 2019-1-8 19:37:00 | 显示全部楼层
回复 6# flow2000a


   只有stuck at的fault,不推transtion的fault ,所以没有用sdc
 楼主| 发表于 2019-1-16 19:41:46 | 显示全部楼层
回复 2# chengroc


   你好,谢谢回复,这个是sdf反标不正确的原因,我现在遇到另外一个问题,我将一些input port mask掉,add_pi_constraint x port_name, vcs后仿真的时候出现 expr=0 ,got =x的错,并且生成的pattern也有x pattern, 改成add_pi_constraint 0 port_name,仿真就是ok的,请教下,怎么消除这些x态了,一般这些x态怎么引起的,谢谢
发表于 2019-1-30 23:33:53 | 显示全部楼层
学习学习
发表于 2019-10-31 16:32:45 | 显示全部楼层
看了之后大致知道了流程,但是还是一头雾水。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 03:19 , Processed in 0.027517 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表