在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 20914|回复: 40

安装synopsys VCS 后执行出现问题

[复制链接]
发表于 2014-3-21 16:53:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在RHEL 4 32bit安装时都正常可以使用,现在换成RHEL 6.5 64bit,也尝试安装在centos 6.5 64bit,一样出现此问题
在终端机下却一直出现以下错误,我很确定License没有问题,其他DC、ICC、PT也都正常可以执行,实在找不到问题出在哪
VCS我尝试过安装2013与2012版,结果都一样,皆安装64bit版本,错误中显示VCS_HOME有问题,但是我很确定我设定正确...

[root@weihost counter]# vcs *.v

Error-[VCS_COM_UNE] Cannot find VCS compiler
  VCS compiler 'VCS_HOME' not found. Environment variable
  /usr/synopsys/G-2012.09/linux (/usr/synopsys/G-2012.09/linux/bin/vcs1) is
  selecting a directory in which there isn't a compiler 'linux' for a machine
  of this type 'VCS_HOME'.
  Please check whether 'VCS_HOME' is incorrect; if not, see below.


Error-[VCS_COM_UNE] Cannot find VCS compiler
  VCS compiler 'VCS_HOME' not found. Environment variable
  /usr/synopsys/G-2012.09/linux (/usr/synopsys/G-2012.09/linux/bin/vcs1) is
  selecting a directory in which there isn't a compiler 'linux' for a machine
  of this type 'VCS_HOME'.
  Please check whether 'VCS_HOME' is incorrect; if not, see below.
  The error message report included the following additional information:
  <null string>

Perhaps vcs hasn't been installed for machine of type "linux".
Or the installation has been damaged.
To verify whether vcsG-2012.09 supports machine of type "Linux 2.6.32-431.el6.x86_64",
please look at ReleaseNotes for more details .
We determine the machine type from uname; maybe uname is incorrect.
You can fix installation problems by reinstalling from CDROM
or downloading it from the Synopsys ftp server.
For assistance, please contact vcs technical support
at vcs_support@synopsys.com or call 1-800-verilog



************************************************************************************************
以下为.bashrc挡案,请各位牛人帮忙

# .bashrc

# User specific aliases and functions


alias rm='rm -i'
alias cp='cp -i'
alias mv='mv -i'

# Source global definitions
if [ -f /etc/bashrc ]; then

. /etc/bashrc
fi

#open gui
export DISPLAY=unix:0

#Design Compiler
export PATH="/usr/synopsys/H-2013.03-SP1/bin:"$PATH

#IC Compiler
export PATH="/usr/synopsys/H-2013.03/bin:"$PATH

#VCS
export PATH="/usr/synopsys/G-2012.09/bin:"$PATH

#lmgrd synopsys
export PATH="/usr/synopsys/10.9.3/amd64/bin:"$PATH

#PTS
export PATH="/usr/synopsys/H-2012.12/amd64/syn/bin:"$PATH

#verdi
export LD_LIBRARY_PATH=weihost:/usr/novas/verdi/share/PLI/VCS/LINUX64
export LM_LICENSE_FILE=27004@weihost
export NOVAS_HOME="/usr/novas"
export VERDI_HOME="/usr/novas/verdi"
export PATH="/usr/novas/verdi/bin:"$PATH
alias lmli3="lmgrd -c /usr/novas/license/novas_license.dat -l ~/novas_lic.log"
alias verdi="verdi"

#nlint
export NLINT_HOME="/usr/novas/nlint"
export PATH="/usr/novas/nlint/bin:"$PATH
alias nlint="nLint -gui"



#Set Home Directory
export SYNOPSYS="/usr/synopsys"
export DC_HOME="/usr/synopsys/H-2013.03-SP1"
export VCS_HOME="/usr/synopsys/G-2012.09"
export ICC_HOME="/usr/synopsys/H-2013.03"
export PT_HOME="/usr/synopsys/H-2012.12"



#start synopsys license using lmgrd
alias lmli2="lmgrd -c /usr/synopsys/license/123.dat -l ~/syn_lic.log"

export SYNOPSYS="/usr/synopsys"
export SNPSLMD_LICENSE_FILE=27000@weihost

alias dc="dc_shell"
alias dv="design_vision"
alias icc="icc_shell -gui"
alias vcs="vcs"
alias pt="pt_shell -gui"
发表于 2014-3-24 11:51:12 | 显示全部楼层
报错那么明显 按照报错检查修改
发表于 2014-7-13 20:09:04 | 显示全部楼层
好像是你装的是64位的,在vcs 后面加上参数 -full64就解决了问题,这是我的想法,应该还有更好的解决办法

点评

赞  发表于 2019-10-16 16:45
发表于 2014-9-5 02:49:16 | 显示全部楼层
thank you , good inform
发表于 2014-10-17 00:32:47 | 显示全部楼层
回复 1# owen70080


    请问楼主,问题解决了吗?
发表于 2014-10-17 07:33:37 | 显示全部楼层




    好像这个问题就应该这么解决,我也遇到过。
发表于 2014-10-22 11:31:50 | 显示全部楼层
是的,我刚遇到同样的问题。加-full64解决。
发表于 2014-10-22 13:24:35 | 显示全部楼层
各种没币
发表于 2014-10-22 13:25:46 | 显示全部楼层
各种求 满血
发表于 2014-10-22 13:26:31 | 显示全部楼层
没血伤不起
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 10:46 , Processed in 0.034580 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表