在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 237|回复: 12

[求助] uvm仿真运行到uvm_do后卡死

[复制链接]
发表于 2024-4-25 00:12:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大佬,情况是seq启动后运行到uvm do后,drive里的get_next_item()无法运行到。但是uvm仿真时间是一直在走的。网上查说可能是seqr连接有问题。可是查看了例化的seqr,类型确实是对的(发送的trancation匹配)。另外driver seqr和seq。类型都是tlp_tran,没有写错。请问是什么原因导致的。下面是我的代码

                               
登录/注册后可看大图

                               
登录/注册后可看大图

 楼主| 发表于 2024-4-25 00:15:38 | 显示全部楼层
另外补充,这种方式写的另一个agent可以正常工作。uvm_do可以发送成功。就这个agent无法发送uvm_do
发表于 2024-4-25 09:26:46 | 显示全部楼层
是不是信号连接不对?可以看下我之前写的这篇的第13楼,https://bbs.eetop.cn/thread-449227-1-1.html
发表于 2024-4-25 10:12:11 | 显示全部楼层
一点点去debug,先去看看driver有没有收到这个sequence  再看是不是driver卡在了某个条件等待上
 楼主| 发表于 2024-4-25 20:15:43 | 显示全部楼层


huanglc_IC 发表于 2024-4-25 09:26
是不是信号连接不对?可以看下我之前写的这篇的第13楼,https://bbs.eetop.cn/thread-449227-1-1.html ...


谢谢,目前看virtual interface 的接口信号都没有高阻的。有信号,虽然都是0
 楼主| 发表于 2024-4-25 23:32:10 | 显示全部楼层


kang98253 发表于 2024-4-25 10:12
一点点去debug,先去看看driver有没有收到这个sequence  再看是不是driver卡在了某个条件等待上 ...


现在有个疑问是driver中的get_next_item先执行。还是uvm_do先执行。

 楼主| 发表于 2024-4-26 00:40:53 | 显示全部楼层


tongxuext 发表于 2024-4-25 23:32
现在有个疑问是driver中的get_next_item先执行。还是uvm_do先执行。



                               
登录/注册后可看大图

                               
登录/注册后可看大图


目前找到了driver无法驱动到dut的问题。是因为等待rst信号拉高才执行get_next_item。所以先执行了seq.start。执行uvm_do。但是uvm_do发送数据无法结束。所以一直执行不到send_to_dut。改成了while(1)先执行send_to_dut。可以驱动dut了。
但是uvm_do发送数据无法结束的问题还存在。下面是仿真的波形。时钟是always的方式从tb给的。按理来说应该一直会存在。但仿真到后面时钟就一直保持高电平了

                               
登录/注册后可看大图

发表于 2024-4-26 07:48:07 | 显示全部楼层
本帖最后由 eaglezhang01 于 2024-4-26 14:59 编辑

回帖审核要那么久啊
发表于 2024-4-26 16:12:57 | 显示全部楼层
如果一样的写法,其他的agent可以,那就seq没问题,你的driver应该也没变,只是在两个agent里面分别例化了,那driver也没问题,可以看看是不是这个两个agent有什么写法不一样,是不是例化问题。
发表于 2024-4-26 20:07:25 | 显示全部楼层
这是PCIE项目?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 11:31 , Processed in 0.061258 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表