在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 719|回复: 6

[求助] 初始化到ram中

[复制链接]
发表于 2024-2-5 17:15:53 | 显示全部楼层 |阅读模式
10资产
怎么 将txt中按行(行号对应ram地址)整理的数据,不经过写操作,直接初始化到rtl 中某模块的ram中

谢谢

发表于 2024-2-5 21:29:59 | 显示全部楼层
Verilog文件操作函数解析txt 得到数据,再把数据直接assign到ram的memory数组中。



    reg [7:0] memory [0:16];  

    reg [1023:0] file = "xxx.txt";
    initial begin
        $readmemh(file, memory);
    end


发表于 2024-2-6 17:15:34 | 显示全部楼层
楼上正解。
 楼主| 发表于 2024-2-20 14:23:23 | 显示全部楼层


Y__Y 发表于 2024-2-5 21:29
Verilog文件操作函数解析txt 得到数据,再把数据直接assign到ram的memory数组中。


谢谢 我去试试
发表于 2024-3-28 17:09:21 | 显示全部楼层
如果是现成的ram模块可能都自带初始化函数,看下代码要怎么调用
 楼主| 发表于 2024-4-8 10:05:14 | 显示全部楼层


yy641737606 发表于 2024-3-28 17:09
如果是现成的ram模块可能都自带初始化函数,看下代码要怎么调用


谢谢  自带的也是调用了$readmem
发表于 4 天前 | 显示全部楼层
$readmemb或者$readmemh
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 17:45 , Processed in 0.034323 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表