在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7059|回复: 12

vcs2019 dump fsdb波形问题:*Verdi* Cannot load libssCore_ vcs201906.so from following VERDI_ HOME or NOVAS_HOME

[复制链接]
发表于 2020-9-16 15:34:19 | 显示全部楼层 |阅读模式
10资产
欲使用vcs编译运行UVM,并输出FSDB波形,出现问题。UVM log正常,但fsdb波形无法输出:
运行simv时的log:
image.png
其中环境配置:
image.png
image.png
log中没找到的文件,手动到对应目录下可以找到:
image.png
问题一:针对这个csh运行脚本,如何配置使其可以输出fsdb波形?(高优先级)
问题二:如果不传入-full64,PLI选择也选择"LINUX"非"LINUX64",可以输出fsdb,这是以32位运行还是vcs2019不不需要传full64?
问题三:如果问题二是以32位运行,那同一个工程,仿真时间是否会比64位长?

image.png
 楼主| 发表于 2020-9-16 15:36:41 | 显示全部楼层
第一次发帖,不太会玩,各位大大请见谅,球球大大们了
发表于 2020-9-16 17:37:14 | 显示全部楼层
跟了debug_access选项,-P的那一行选项好像可以去掉
 楼主| 发表于 2020-9-16 18:11:17 | 显示全部楼层


puxiancheng 发表于 2020-9-16 17:37
跟了debug_access选项,-P的那一行选项好像可以去掉


0.0这是一个脚本优化的建议。不过我尝试了,不能解决“找不到libsscore_vcs201906.so”的问题,还是输出不了fsdb波形。

thx all the same
发表于 2020-9-20 21:08:26 | 显示全部楼层
time 0都 uvm fatal了,根本没有创建uvm test实例,就结束了
 楼主| 发表于 2020-9-21 14:35:32 | 显示全部楼层


ideal2008 发表于 2020-9-20 21:08
time 0都 uvm fatal了,根本没有创建uvm test实例,就结束了


因为我为了偷懒,直接./simv,没有传参数。这个工具找不到Lib和uvm是否真正运行时两码事。下图为传参运行simv的截图:
image.png


发表于 2020-9-23 15:46:41 | 显示全部楼层
需要安装verdi这个工具, 现在新版本的fsdb都交给Verdi处理了。
 楼主| 发表于 2020-9-27 16:33:47 | 显示全部楼层


chenjunic 发表于 2020-9-23 15:46
需要安装verdi这个工具, 现在新版本的fsdb都交给Verdi处理了。


verdi工具安装了,VERDI_HOME或者NOVAS_HOME地址就是verdi工具目录。
发表于 2021-2-21 16:45:27 | 显示全部楼层
2019版本哪里下载的,能提供个链接吗
 楼主| 发表于 2021-2-24 09:31:00 | 显示全部楼层


xlong 发表于 2021-2-21 16:45
2019版本哪里下载的,能提供个链接吗


抱歉,我没有资源。这是单位的工具。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 04:20 , Processed in 0.030934 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表