在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3465|回复: 12

[求助] vcs仿真 提示module太大导致vcs compiler错误

[复制链接]
发表于 2020-7-28 09:47:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问有无选项改变文件size的大小。。。
批注 2020-07-28 094055.jpg
发表于 2020-7-28 10:29:13 | 显示全部楼层
查看下Size有多大
发表于 2020-7-28 11:08:57 | 显示全部楼层
应该是内存超了,肯定写了个reg 【31:0】 mem[0:123456789];
 楼主| 发表于 2020-7-28 14:33:19 | 显示全部楼层


A1985 发表于 2020-7-28 11:08
应该是内存超了,肯定写了个reg 【31:0】 mem[0:123456789];


看了下,确实有不少二维数组,见截图工具自动生成的,不大好改了
这里有三个问题:
1、是否只是二维数组大小有限制?普通的一维reg没限制?
2、vcs的限定大小是多少?
3、有无选项重新设定这个max从而不用修改就能通过?



批注 2020-07-28 143059.jpg
批注 2020-07-28 143127.jpg
发表于 2020-7-28 18:20:53 | 显示全部楼层
加入一comment:reg /*sparse*/ [31:0] pattern [0:10_000_000];
发表于 2020-7-29 17:30:27 | 显示全部楼层
为什么不从你的方案上找问题?比如你几个G的数据,一般来说肯定不可能全存到一个地方静态读取,都是流水线控制,这样也有效率。
 楼主| 发表于 2020-7-30 19:23:10 | 显示全部楼层


gaurson 发表于 2020-7-29 17:30
为什么不从你的方案上找问题?比如你几个G的数据,一般来说肯定不可能全存到一个地方静态读取,都是流水线 ...


dft工具自动生成的.v,根源在于模拟包的数字top,所以所谓的数字top有巨量的和模拟交互的pin。
 楼主| 发表于 2020-7-30 19:24:30 | 显示全部楼层


有二维数组确实size大,有选项改变vcs的限定吗?
 楼主| 发表于 2020-7-31 10:48:16 | 显示全部楼层


A1985 发表于 2020-7-28 18:20
加入一comment:reg /*sparse*/ [31:0] pattern [0:10_000_000];


试了下,好像不行,问题依旧
发表于 2020-8-7 12:03:47 | 显示全部楼层
应该需要partition compile
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 23:24 , Processed in 0.028735 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表