在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3987|回复: 11

[求助] uvm和cpu如何进行交互

[复制链接]
发表于 2020-6-9 15:36:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在wholechip的环境中,因为cpu只认识hex文件,那么seq如何和c语言的pattern进行协同工作?如果只用C语言,那么seq不就没有用处了吗,请大牛解答一下,谢谢
发表于 2020-6-9 17:03:35 | 显示全部楼层
SV-C交互就好 C API 《DPI》SEQ
发表于 2020-6-9 17:19:58 | 显示全部楼层
You need:
cadence uvm-ml
synopsys tli
mentor uvm-connect
发表于 2020-6-9 18:52:22 | 显示全部楼层


sunfire 发表于 2020-6-9 17:19
You need:
cadence uvm-ml
synopsys tli


大佬
发表于 2020-6-23 18:56:11 | 显示全部楼层
DPI就是sv和c的桥梁
在验证系统中,也可以把soc的内存空间做sv和c的数据交互使用。 CPU仿问内存,sv通过后门访问memory
发表于 2020-6-24 08:57:57 | 显示全部楼层


A1985 发表于 2020-6-9 17:03
SV-C交互就好 C API 《DPI》SEQ


能否说的详细点?不太明白这个flow
发表于 2020-7-14 16:18:45 | 显示全部楼层
没用过。问题解决了吗,由思路或者解决方法嘛
发表于 2020-7-20 13:47:46 | 显示全部楼层
写系统级C case的时候就不需要用UVM的sequence了,直接在系统级环境你使用的conponent(比如monitor)的main_phase里使用objection机制,在里面用wait_modified等仿真结束的一个变量值改变(比如sim_done,初始为0),然后C case执行完之后调用一个DPI程序给wait_modified等待的变量(sim_done)赋值成1,然后drop_objection,就完事啦~
发表于 2020-7-20 14:42:36 | 显示全部楼层


PIC~~ 发表于 2020-7-20 13:47
写系统级C case的时候就不需要用UVM的sequence了,直接在系统级环境你使用的conponent(比如monitor)的mai ...


component。。。。。敲错了。。。。
 楼主| 发表于 2020-7-24 10:52:05 | 显示全部楼层


PIC~~ 发表于 2020-7-20 14:42
component。。。。。敲错了。。。。


ok,了解,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 04:31 , Processed in 0.040426 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表