在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3949|回复: 13

[求助] uvm_config_db可以用来传递关联数组吗?

[复制链接]
发表于 2019-10-18 10:40:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm_config_db可以用来传递关联数组吗?
uvm_config_db#(int unsigned dst_mem[int unsigned])::set(uvm_root::get(), "uvm_test_top.m_demo_tb.m_demo_scoreboard", "dst_mem", dst_mem[int unsigned]);
我这样写老是报错:at axi_slave_driver.sv(96): near "dst_mem": syntax error, unexpected IDENTIFIER, expecting ')' or ','.:lol:lol
 楼主| 发表于 2019-10-18 12:40:31 | 显示全部楼层
发表于 2019-10-18 13:39:38 | 显示全部楼层
uvm_config_db#(int unsigned dst_mem[int unsigned])::set(uvm_root::get(), "uvm_test_top.m_demo_tb.m_demo_scoreboard", "dst_mem", dst_mem[int unsigned]);
试一下改成
uvm_config_db#(int unsigned dst_mem[int unsigned])::set(uvm_root::get(), "uvm_test_top.m_demo_tb.m_demo_scoreboard", "dst_mem", dst_mem);
 楼主| 发表于 2019-10-18 13:53:14 | 显示全部楼层


ppeezz 发表于 2019-10-18 13:39
uvm_config_db#(int unsigned dst_mem)::set(uvm_root::get(), "uvm_test_top.m_demo_tb.m_demo_scoreboard ...


还是报那个错
 楼主| 发表于 2019-10-18 14:31:22 | 显示全部楼层
有大哥用过吗
发表于 2019-10-18 14:35:02 | 显示全部楼层
你可以把关联数组放到class里面,传这个class。
 楼主| 发表于 2019-10-18 16:27:34 | 显示全部楼层


年轻的韭菜 发表于 2019-10-18 14:35
你可以把关联数组放到class里面,传这个class。


自己写了个类
class Memery extends uvm_object;

  function new(string name="Memery");
   super.new(name);
  endfunction

   int unsigned          src_mem[int unsigned];
   int unsigned          dst_mem[int unsigned];  



endclass : Memery


然后就报错:
# ** Fatal: (SIGSEGV) Bad handle or reference.

对类有什么要求
发表于 2019-10-18 16:48:31 | 显示全部楼层


宋凡 发表于 2019-10-18 16:27
自己写了个类
class Memery extends uvm_object;


使用class去传递肯定是可以的,你这样估计是哪里没写对
发表于 2019-10-18 17:09:10 | 显示全部楼层
思路是对的,用class是可以传递的,在你自己的class中加上`uvm_object_utils(class_name) 应该就可以了。
当然更加推荐使用begin和end把相关的参数加入进来,这样有利于后续处理
发表于 2019-10-20 09:41:56 | 显示全部楼层
如果不用config_db,要在driver monitor中共享这个联合数组,可以在agent中例化这个包含关联数组的class,在connect phase将driver 和 monitor 中的句柄连接起来。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 12:58 , Processed in 0.037985 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表