在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 诸葛小天

[求助] fsdb波形中载入SVA

[复制链接]
 楼主| 发表于 2019-10-24 17:19:13 | 显示全部楼层


桅杆 发表于 2019-10-24 16:25
是的,加上了,代码是下面那样的,仿真的指令是  ./sim -assert success


波形加载没问题呀 , 是不是编译仿真选项的问题
发表于 2019-10-24 17:55:34 | 显示全部楼层


诸葛小天 发表于 2019-10-24 17:19
波形加载没问题呀 , 是不是编译仿真选项的问题


有关的option大概就这些,还有什么缺的吗?

11.png

还有 -debug_access+all 也加了
 楼主| 发表于 2019-10-25 11:11:35 | 显示全部楼层


桅杆 发表于 2019-10-24 17:55
有关的option大概就这些,还有什么缺的吗?


你这里面没加  +sva_success 吧

附上我的编译仿真选项 :

微信截图_20191025110552.png
微信截图_20191025110716.png
微信截图_20191025110755.png
发表于 2019-10-25 16:03:39 | 显示全部楼层


诸葛小天 发表于 2019-10-25 11:11
你这里面没加  +sva_success 吧

附上我的编译仿真选项 :


啊,谢谢,我把 +assert succeed  和 +sva_success 混用了
发表于 2019-10-26 10:34:24 | 显示全部楼层
学习了,谢谢楼主!!!
发表于 2019-11-2 21:36:20 | 显示全部楼层
$fsdbDumpSVA
发表于 2019-11-15 22:11:02 | 显示全部楼层
学习了
发表于 2019-11-16 22:24:46 | 显示全部楼层
首先 top.sv中添加$fsdbDumpSVA ,仿真的时候需要添加+fsdb+sva_success(vcs2017版本需要添加,否则不会显示成功的断言波形)
发表于 2019-11-20 17:26:04 | 显示全部楼层
楼主有什么学习断言的书籍吗?一直都想学习断言,但是不知道怎么做
发表于 2021-10-8 16:49:24 | 显示全部楼层
在makefile里加这么一句话

export FSDB_SVA_SUCCESS=1

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 06:29 , Processed in 0.028081 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表