在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1773|回复: 7

[求助] 各位前辈,小弟有一个困扰已久的问题,想请教请教

[复制链接]
发表于 2019-8-20 16:29:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟学习张强的UVM实战I 已有数日,这个问题困扰了我许久;
如题,在monitor中string name ="my_monitor",parent =null,
function new(string name="my_monitor",uvm_component parent=null);
在driver中string name ="my_driver",parent =null,
function new(string name = "my_driver", uvm_component parent = null);
一、为什么在agent或者其他类中string name可以不用定义或者定义为“”?
二、monitor和driver中的parent为什么等于null?
 楼主| 发表于 2019-8-20 21:47:43 | 显示全部楼层
真的没人吗?
发表于 2019-8-21 10:46:22 | 显示全部楼层
uvm_component parent = null 或者 uvm_component parent = “” 或者 uvm_component parent

在不同组件中都是可以的

这是由于在parent 中 例化时 已经说明父子关系

例如在agent中的build_phase  :  drv = my_driver::type_id::create("drv", this);
 楼主| 发表于 2019-8-21 21:17:14 | 显示全部楼层
斯国一,谢谢
发表于 2019-8-30 13:00:40 | 显示全部楼层


诸葛小天 发表于 2019-8-21 10:46
uvm_component parent = null 或者 uvm_component parent = “” 或者 uvm_component parent

在不同组件中 ...


那我蹭着楼,问下,在bulid phase 之后的(uvm_phase phase)
这个参数是为什么这么写?
发表于 2019-8-30 14:17:26 | 显示全部楼层
与其他自定义功能函数区分开

保证其可以使用phase的一些特性;
发表于 2019-11-25 12:31:55 | 显示全部楼层


gghr 发表于 2019-8-21 21:17
斯国一,谢谢


谢谢,学一学


发表于 2019-11-25 13:38:12 | 显示全部楼层


viviy 发表于 2019-8-30 13:00
那我蹭着楼,问下,在bulid phase 之后的(uvm_phase phase)
这个参数是为什么这么写?
...


一般会用到phase的dorp和raise,如果用不到phase的相关函数,不写应该也行。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 01:29 , Processed in 0.026007 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表