在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9242|回复: 14

[求助] [DC] RTL 加密问题

[复制链接]
发表于 2018-7-28 15:36:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 494693243 于 2018-7-30 18:53 编辑

请问有什么方法可以使RTL 加密之后,被DC 吃进去ps:                                                                                                      1. 我试验了 vcs -auto2protect 生成的 .svp 文件, DC 会报错,请问DC 是否有什么命令可以吃进去  *.svp 的文件
       2. 我试着使用 synenc 命令, 发现DC 会报出 unknow command, 猜测可能license的问题,有没有人遇到过,可否确认
       3. 我使用vcs -Xman 的方法 去加扰RTL ,确实会生成一个tokens.v
               这个方法会遇到好多问题:
               3.1 我们的RTL 是sv 写的,其中会有import  xxx ::* 这种语法, DC 会报tokens.v (因为我没找到如何用vcs -Xman 产生                                 tokens.sv,所以会是tokens.v)  的 import xxx 的名字找不到(我去看了,发现加扰package 的名字, 和 import 的不同
               3.2  在tokens.v 里面还会出现wire xxx 的定义 晚于 使用 这条线网的时间
               3.3  在tokens.v 里面的 不同的枚举类型,被定义成了同一个名字,
              以上第三条中的问题, DC 都会报出错误,有没有人知道这是怎么回事?
        4. 目前我想到的就是以上三种方式,有没有人知道还有别的方式吗?ps:目前我司还没有cadence的软件,所以ncv 什么的不能用的
发表于 2018-10-8 21:19:48 | 显示全部楼层
从未尝试过V文件加密
发表于 2018-11-12 18:13:15 | 显示全部楼层
老版本的DC里是有synenc的命令的
发表于 2018-12-22 18:14:40 | 显示全部楼层
发表于 2019-9-16 15:14:06 | 显示全部楼层
麻烦问一下,楼主的问题解决了吗?

另外,vcs那个加密的方式,应该是只能应用于vcs仿真吧,DC应该是不行的吧?
 楼主| 发表于 2019-10-8 17:51:40 | 显示全部楼层


bysg312 发表于 2019-9-16 15:14
麻烦问一下,楼主的问题解决了吗?

另外,vcs那个加密的方式,应该是只能应用于vcs仿真吧,DC应该是不行的 ...


您说的对,vcs 加密的不能用于dc,我的问题实际并没有解决,只是我们最后给的是netlist了
发表于 2019-10-9 17:20:31 | 显示全部楼层


494693243 发表于 2019-10-8 17:51
您说的对,vcs 加密的不能用于dc,我的问题实际并没有解决,只是我们最后给的是netlist了 ...


哦哦,那这样的话,就需要拿到对方进行逻辑综合时使用的library才可以吧?就是一定要保证你导出的netlist所使用的library与对方使用的library一致,这样才是正确的,对吧?
 楼主| 发表于 2019-10-10 14:59:21 | 显示全部楼层


bysg312 发表于 2019-10-9 17:20
哦哦,那这样的话,就需要拿到对方进行逻辑综合时使用的library才可以吧?就是一定要保证你导出的netlist ...


是的, 我们使用的是同一个lib
发表于 2019-10-12 12:00:54 | 显示全部楼层
你好,是否可以改用DC 合成  GTECH file.之後再給 GETCH 呢?
发表于 2019-10-14 17:35:31 | 显示全部楼层


494693243 发表于 2019-10-10 14:59
是的, 我们使用的是同一个lib


嗯嗯
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 05:36 , Processed in 0.027743 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表