在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4083|回复: 11

[求助] 张强老师UVM第一个例子VCS编译错误,求解惑啊!

[复制链接]
发表于 2018-1-18 23:14:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Screenshot-1.png Screenshot.png 张强老师第一个例子就GG了,新手学这个好痛苦,大神帮解释一下这个错误啊。谢谢了!!!
 楼主| 发表于 2018-1-18 23:16:05 | 显示全部楼层
回复 1# 忘空


   还有我的gvim “_”这个下滑符合也不显示,好坑爹!卸载重装,清空配置也没有,换调色方案也不行。
发表于 2018-1-18 23:39:33 | 显示全部楼层
能不能把你的执行命令贴出来,或者makefile贴出来
发表于 2018-1-18 23:43:24 | 显示全部楼层
顺便问一下,楼主的VCS是在自己的虚拟机中安装的吗,还是公司的
 楼主| 发表于 2018-1-18 23:49:40 | 显示全部楼层
回复 4# Marvin.Liang


   自己电脑站内找的破解包安装的 我是直接VCS -sverilog top_tb.v +v2k这样编译的。
 楼主| 发表于 2018-1-19 00:09:46 | 显示全部楼层
Screenshot-1.png 回复 4# Marvin.Liang


    Screenshot.png Screenshot2.png 这是所有文本了
发表于 2018-1-19 08:58:32 | 显示全部楼层
调换一下top_tb中include文件的位置:

import uvm_pkg::*;
`include"my_driver"

第一个是将uvm_pkg所有的机制包括uvm_driver加入到仿真库中,当编译my_driver文件时,vcs就可以识别uvm_driver机制,否则将报语法错误
 楼主| 发表于 2018-1-19 21:08:19 | 显示全部楼层
回复 7# wangxinxin521


    非常谢谢你,还真的是这个问题,让我踏出了第一步泪 。谢谢!
发表于 2018-1-23 14:18:27 | 显示全部楼层
回复 8# 忘空


   我也是刚开始学,刚学到第三章,有什么问题可以相互交流下
 楼主| 发表于 2018-1-23 19:18:59 | 显示全部楼层
回复 9# wangxinxin521
这样啊,可以加个微信吗,方便交流?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 18:49 , Processed in 0.038558 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表