在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
EETOP诚邀模拟IC相关培训讲师 创芯人才网--重磅上线啦!
查看: 2899|回复: 4

[原创] Icarus Verilog 开源verilog仿真软件

[复制链接]
发表于 2016-11-23 19:14:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Icarus-0.8.1-2005Sep12.dmg.zip (2.77 MB, 下载次数: 37 )

  • Icarus verilog
    Icarus Verilog 是一款开源的verilog仿真软件,具有以下特点:
    • 跨平台,Linux/BSD/AIX/Mac OSX/Windows
    • 编译型仿真软件
    • 支持IEEE1364-1995,IEEE1364-2001和IEEE1364-2005标准
    • 支持VPI(即PLI2.0)并实现了一个对标准VPI的扩展
    • 提供一个verilog到Vhdl的语言转换器
发表于 2016-11-26 20:44:04 | 显示全部楼层
超级赞的大大工具!
提高马代吗效率
发表于 2016-11-28 23:27:09 | 显示全部楼层
非常感谢!!!!
发表于 2016-11-30 11:35:21 | 显示全部楼层
回复 3# mainhand


   icarus.....
发表于 2022-9-28 21:08:57 | 显示全部楼层
linux or  win OS ??


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 05:24 , Processed in 0.022240 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表