在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3723|回复: 5

[求助] uvm_do 求助

[复制链接]
发表于 2016-10-20 16:39:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm_do封装了包的产生过程,
tran tr;
tr=tran::type_id::create.....;
start_item(tr);
tr.randomize();
finish_item(tr);
在case里,我想在sequence发包给sequencer之前,调用某个函数,是不是就不能用uvm_do这种了,
要把包的整个过程拆开

tran tr;
tr=tran::type_id::create.....;
start_item(tr);
tr.randomize();
tr.func();//func是在包里自己定义的
finish_item(tr);

有没有类似uvm_do可以调用这个函数的???
发表于 2016-10-20 16:56:56 | 显示全部楼层
uvm_create;
randomize;
post_randomize;
uvm_send;
 楼主| 发表于 2016-10-20 17:29:48 | 显示全部楼层
回复 2# AnswerLJ


    什么意思?这些是UVM自自动执行的吗?那函数在哪个地方调用?
发表于 2016-10-23 22:47:25 | 显示全部楼层
回复 3# dyytx


   uvm_do是一个宏,里面包了new、wait_for_grant()、randomize、send的方法,他会产生一个transaction,通过sequencer的seq_item_export发给driver的seq_item_port   其实 driver和seqeunce是有交互的,driver get item的时候,会让seq.starting_phase = phase, 在你的sequence里,检查starting_phase,然后raise objection, 然后 就执行`uvm_do了。执行结束之后,drop objection。所有objection都drop 进入下一个phase
发表于 2016-10-28 10:54:01 | 显示全部楼层
2楼正解
发表于 2016-10-29 14:06:21 | 显示全部楼层
你可以写在prerandomize和postrandomize里面吧, 他们是自动执行的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 18:03 , Processed in 0.025398 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表