在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: DZ小亮

[讨论] verdi-201210 能看UVM中验证模块的波形不?

[复制链接]
发表于 2015-9-23 17:49:36 | 显示全部楼层
可以的 在command里面加一个命令就可以 我之前看到过 你可以上verificationacademy去查一下
发表于 2015-10-13 15:02:25 | 显示全部楼层
学习学习
发表于 2016-1-2 21:48:36 | 显示全部楼层
同问同问同问
发表于 2016-1-6 13:19:01 | 显示全部楼层
赞!!!
发表于 2016-1-30 21:37:56 | 显示全部楼层
good info
发表于 2016-1-31 13:55:50 | 显示全部楼层
good info
发表于 2016-9-29 18:31:44 | 显示全部楼层
下了一篇好文,谢谢
发表于 2016-10-13 17:43:05 | 显示全部楼层
下了一篇好文,谢谢
发表于 2016-10-14 22:09:01 | 显示全部楼层
不行,真的有需要就先将drv中的信号连到interface中,但是工作量很大,在debug时,可以用用
发表于 2016-10-16 15:32:42 | 显示全部楼层
THANKS FOR SHARING
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 16:29 , Processed in 0.031461 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表