在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 飞扬紫百合

[讨论] UVM高手请进:请教关于SV的cast函数和UVM的clone函数

[复制链接]
发表于 2018-5-27 17:35:52 | 显示全部楼层
回复 5# bexiang
get it,,谢谢分享
发表于 2018-11-27 15:00:08 | 显示全部楼层
4楼5楼说的有道理
发表于 2018-11-29 14:07:08 | 显示全部楼层
谢谢楼主的分享
发表于 2018-11-30 15:00:54 | 显示全部楼层
clone()返回的UVM_OBJECT类型的 而Tr_A  是My_trans 类型,子类对象不能直接指向父类对象 ,所以用$cast
发表于 2019-1-8 16:27:12 | 显示全部楼层
一直弄不清case里面两个类的前后关系?
发表于 2019-1-26 15:56:53 | 显示全部楼层
mark!
发表于 2019-1-26 16:01:17 | 显示全部楼层
回复 4# ia2ptk


   great
发表于 2019-1-30 20:19:48 | 显示全部楼层
学习学习
发表于 2019-9-2 15:48:08 | 显示全部楼层
学习 mark         
发表于 2019-9-3 21:59:48 | 显示全部楼层
学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 15:53 , Processed in 0.026487 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表