在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3103|回复: 3

[转贴] Verilog中文件输入与输出任务实例解析,转发的!小细节给初学者

[复制链接]
发表于 2012-9-21 11:56:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1.文件打开和关闭:首先定义integer指针,然后调用$[size=+0]fopen(file_name,mode)任务,不需要文件时,调用$fopen(file_name)

常用mode包括

“w"打开文件并从文件头开始写,如果不存在就创建文件。

“w+"打开文件并从文件头开始读写,如果不存在就创建文件

"a"打开文件并从文件末尾开始写,如果不存在就创建文件

“a+"打开文件并从文件末尾开始读写,如果不存在就创建文件

2.输出到文件:显示任务前加f,调用格式:

$fdisplay(文件指针,"显示内容",显示变量),再如$fmonitor(…)

除了多一个文件指针外,其他与在控制台输出的任务是用方法一致。

3.从文件读取:3个任务

$readmemb("文件名",存储单元,文件中要存入存储单元的起始地址,文件中要存入存储单元的终了地址)

其中文本文件可以包含空格,换行,制表符、注释、二进制,下面例子中,在工程文件夹目录下,有一个meomoryb.txt的文本文件,文件内容如下:

10101101 00011101 01101111 01100001

00000001 11111110 11111111 11101110

这个文件就符合要求,可以通过$readmemb读取。10101101第一个数据地址为0,向后以此类推。

另外一个系统任务$readmemh与$readmemb区别就是识别十六进制,下面例子中,在工程文件夹目录下,有一个meomoryh.txt的文本文件,文件内容如下:

ef ab 3e 4f
发表于 2012-9-21 20:26:46 | 显示全部楼层
初学者报个到 呵呵  楼主威武
发表于 2015-8-5 10:21:54 | 显示全部楼层
同上!
发表于 2016-8-15 16:36:46 | 显示全部楼层
很感谢,之前用w还在奇怪只有一个数据
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 18:44 , Processed in 0.020400 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表