|
楼主 |
发表于 2018-1-22 15:25:40
|
显示全部楼层
回复 15# phoenixson
我在 hello.sv中使用export指令,hello.sv程序如下:
////////////////////////////////////////////
program main();
import "DPI-C" reportc=task reportc();
export "DPI-C" task waitNs;
initial
begin
reportc();
end
task waitNs(input int unsigned ns);
#ns;
endtask
endprogram
///////////////////////////////////////////
elaborate the design時發生了Warning(ncelab main)
ncelab: *W,DPIEXP: DPI export function in _sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in _sv_export.so not available.
若把hello.sv中的export disable,則*W,DPIEXP則不會出現。此問題跟DPI export有關係。
不知這要如何解決???
|
|