在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1819|回复: 2

[求助] NC是不是不支持参数化的class?

[复制链接]
发表于 2017-3-22 19:15:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
RT

报错提示:expecting an equal sign ('=') [SystemVerilog - 6.3.3]

class  a_test_base #(type a_env) extends uvm_test;
...
endclass


Syntactically this identifier appears to begin a datatype but it does not refer to a visible datatype in the current scope.
`uvm_component_utils(a_tets_base#(a_env))
发表于 2017-3-23 09:10:59 | 显示全部楼层
#(type T =  a_env)
 楼主| 发表于 2017-3-23 21:30:28 | 显示全部楼层
回复 2# A1985


    额 见过这种写法 回头可以试一下 但是vcs的直接编译运行都是没问题的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 04:05 , Processed in 0.014445 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表