马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
class driver_2 extends uvm_driver#(cmd);
`uvm_component_utils(driver_2)
virtual cmd_if vif;
function new(string name, uvm_component parent);
super.new(name, parent);
endfunction
virtual function void build_phase(uvm_phase phase);
super.build_phase(phase);
void'(uvm_config_db#(virtual cmd_if)::get(this, "", "vif", vif));
endfunction
在driver的代码中void'(uvm_config_db#(virtual cmd_if)::get(this, "", "vif", vif));根据李强的说法是收信,在TOP_TB中 uvm_config_db#(virtual d_if) ::set(null, "uvm_test_top.env1.drv1", "vif", iif);这相当于寄信,我不明白为什么要这样寄信收信?driver为什么是收信?往个位大牛解答?万分感谢 |