|
发表于 2014-11-13 18:14:40
|
显示全部楼层
最近研究了一下,可以不用sequencer 调度。
可以直接set到UVM_TEST_TOP这一层,然后sequence可以直接从UVM_TEST_TOP get。
四楼的代码还需要修改一下:
在my_test.sv中:
......
video_config vcfg;
.....
virtual function void build_phase(uvm_phase phase);
.....
vcfg = video_config::type_id::creata("vcfg",this);
uvm_config_db#(video_config)::set(this,"","cfg",vcfg);
....
....
在my_sequence中,尝试下面代码,或许可以的。
.......
video_confg cfg;
vritual task body
if(!uvm_config_db#(video_config)::get(this,get_full_name(),"cfg",cfg)) begin
`uvm_info("","",UVM_NONE)
end
.......
cfg.print();
endtask : body |
|