Hermin的个人空间 https://blog.eetop.cn/1775365 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

复位设计

热度 11已有 2212 次阅读| 2021-7-31 15:16 |系统分类:芯片设计

一、异步复位释放时,与时钟不满足set up 和hold 同样会引发亚稳态问题:

        带异步复位端的D触发器,电路有两级组成。前一级主要实现电路的保持,前一级的输出为沿变时捕获的值。后一级为数据的输出。

        1.  异步复位信号有效时,直接驱动后一级的与非门,将输出信号置0或1,即异步复位。

        2. 释放异步复位信号时,输出与前一级的内部输出有关,而异步复位信号又作为前一级某个与非门的输入,所以reset_n的值会影响到内部的中间保持值。 

                2.1 若clk_pos  reset有效,中间值捕获reset值,保持复位状态; 

                2.2 若clk_pos  reset无效,中间值捕获D输入值,正常工作;

                2.3 若clk_pos  reset亚稳态, 中间值亚稳态,电路输出亚稳态。

               总: release reset 要满足寄存器的removal time 要求。

二、异步复位,同步解复位: 将输入的i_rst_n 打两拍输出,同步到i_clk时钟域。

三、带PLL的异步复位,同步解复位

        利用PLL的locked信号,将i_rst_n&locked作为输入的复位信号,再做同步解复位处理。

        PLL的复位信号或许也需要同步解复位,也应该再之前把i_rst_n打两拍送入PLL_RESET端吧。


点赞

全部作者的其他最新日志

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 24

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 19:18 , Processed in 0.013255 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部