在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (334) |订阅

EDA资源使用讨论 今日: 152 |主题: 23930|排名: 1 

1. 请勿上传侵权资料及软件!
如果发现资料侵权请及时联系,联系邮件: jie_bi@sohu.com  QQ: 228265511. 我们将在最短时间内删除。
2. 本版块严禁灌水!否则删除ID!
3. 附件大小已调整到最大64M!
] cadence IUS9.2 ncverilog安装包下载 attach_img  ...23456 Shipley 2016-8-24 5619811 tested 2 小时前
【Keysight_EMPro2017_Win64_CRACK】 attach_img  ...23456..55 chip2222 2017-10-30 54173859 vex_005 11 小时前
[重大更新][Quartus Prime][18.1正式版][下载链接和破解器] attach_img  ...23456..42 suns 2018-9-25 41574407 xgyz12 昨天 22:41
Altium designer 2012 綠色版(免安裝) attachment  ...23456..7 gordon4liu 2013-8-17 6022219 loggerjy 昨天 20:52
最新:Altium Designer 16.0.6 Build 282 与16.0.5 百度云 内含CRACK破解 attachment  ...23456..69 sweetcolin 2016-1-12 68461873 Cappello 昨天 19:09
Tanner EDA Tools v16.30 (Win 64-bit) + Patch 百度盘 attach_img  ...23456..160 Xian93 2016-4-29 1594152375 aimee_ququ 昨天 14:21
Design of Integrated Circuits for Optical Communications, 2nd Ed. - Razavi attachment  ...23456..8 ab3028 2016-12-6 7719109 a9350806 前天 20:21
ASSURA for IC617 attachment  ...23456..83 hitzhabc 2018-8-17 82089615 fox1012 前天 16:49
Arteris FlexNoC Network-on-Chip Interconnect Doc attachment  ...23456..13 thebesteda 2012-5-16 12133974 fox1012 前天 15:44
发一些EDA工具 attachment  ...2 scuay 2016-3-15 168806 skahill 3 天前
最新版Vivado 2017.1完整安装包(21GB) - 百度盘下载 attachment  ...23456..54 drksh 2017-4-26 53571298 皖西城主 4 天前
【重磅福利】【LINUX】AnsysEM_191_LINX64_Floating_CRACK attach_img  ...23456..53 chip2222 2018-9-7 52760625 99chao 5 天前
夏宇闻Verilog_HDL数字设计与综合完整清晰PDF版 attachment  ...23456..7 zq496547199 2012-3-12 6416403 baijinheixin 5 天前
朋友推荐的vivado 2016.4下载 attachment  ...23456..117 littleboyyz 2017-2-9 1162133571 abin719 6 天前
ISE AND VIVADO LICENSE(FREE) attachment  ...2 SYQHH 2015-5-22 194374 ic886 6 天前
xilinx vivado 2016.3 license 长期有效 attachment  ...23456..21 SYQHH 2016-11-20 20938899 ic886 6 天前
xilinx vivado 2018 license file attachment  ...23456..11 SYQHH 2019-1-11 10521197 ic886 6 天前
弄了个siliconsmart 的例子,大家来试下 attach_img  ...23456..8 sme-ic 2013-12-6 7940677 数字ICzjzhou 7 天前
ICC和DC的workshop,lab和lab guide attachment  ...23456..18 低空翾翔 2017-3-26 17639823 yes123168 2024-4-17 21:12
(网盘下载)IC5141/614/615/616;MMSIM7/11/12;ASSURA4.12;Calibre2011;RHEL4/5/6 attach_img  ...23456..38 z20102760 2013-9-4 37085275 gubels 2024-4-17 15:03
Tanner Ledit 13.0 破解文档,详细破解教程,完美可用! attachment  ...23456..9 liulb11 2014-9-17 8543288 arntofish 2024-4-16 08:16
不错的cadance画原理图的简易教程,分享下,同时感谢作者 attachment sinsic 2015-1-17 61973 tracy6969 2024-4-16 01:16
MATLAB2015B64位完整破解下载 attach_img  ...23456..181 suiwinder 2015-9-28 1801182991 qibaojin 2024-4-15 15:47
Ansys HFSS 15 (win64 & win32) + license & crack百度网盘下载 attach_img  ...23456..124 thirey 2013-7-8 1231206136 TheOnlySheep 2024-4-15 10:51
smic130工艺库分享,可由RTL到GDS attachment  ...23456..15 sunny_hua 2012-4-21 14734231 a409559609 2024-4-14 12:48
(省钱版)Microwave Office AWR Design Environment 13.02 + crack attachment  ...23 茶堂小厮 2018-3-1 227375 fish_bean 2024-4-13 15:21
TimingDe$igner9.2 for win attach_img  ...23456..26 langis 2010-5-4 25662735 sds948 2024-4-12 16:16
业界RTL验证标准SpyGlass 手册下载 attachment  ...23456..25 hi_henry 2010-6-3 24852115 xiaogou1233 2024-4-12 13:36
UltraEdit for Linux 15 / Mac 16 官方软件包 + Uneversal Keygen attachment  ...2345 judung 2016-7-23 4613583 haisland_cn 2024-4-11 19:09
Power Management Techniques for Integrated Circuit Design (2016) attachment  ...23456..13 ab3028 2016-12-8 12624150 riven60797 2024-4-10 11:47
[Baidu Pan] DS-5 Ultimate Edition 5.20.2  ...23456..19 芯里美 2015-3-14 18638161 wensin_zhao 2024-4-10 08:58
DS-5 ALTERA EDITION license激活码  ...2 xuanwu_096 2013-12-10 1717195 wensin_zhao 2024-4-10 08:54
APEC 2014 USB  ...2 YULONGUTK 2014-4-14 143036 castlerock 2024-4-10 08:50
TimingDe$igner9.2 lnx attachment  ...2345 langis 2010-5-7 4113226 冰岛海盗 2024-4-9 22:14
《CMOS运算放大器和比较器的设计及应用》黄晓宗 译 attachment  ...23456..14 枫叶不凋零 2018-7-31 13731726 rfic168 2024-4-9 10:27
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 23:17 , Processed in 0.021055 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块