jackzhang的个人空间 https://blog.eetop.cn/jack1 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

IC验证职位水涨船高!EETOP重磅推出《IC验证就业培训》课程!(含实训)!可推荐就业 ...

热度 10已有 3451 次阅读| 2021-12-14 16:29 |系统分类:芯片设计

image.png


本课为连载课程,共99讲,目前课程已更新到第15课时。

在课程更新期间,采用浮动优惠方式。目前阶段,享有超级优惠价格 65折4569元,后期随着课程更新频度,将逐步上调价格,最终更新完成后为原价7999。(注:任何阶段购买都可学习更新完毕之后的全部99讲课程)


本课程用于芯片验证职业培训,适用于:
1. 从芯片其他领域转岗验证,提高薪资水平
2. 零基础,希望从事芯片验证,赢取高薪职位
3. 在校本科生、硕士生的职业培训,方便找工作,毕业后的第一份工作就可以达到可观的高薪!


课程售前群已建立


课程一经推出,受到了广大学员关注,需求强烈,也能切身感受到大家在职业生涯和对未来求职的迷茫。我们也遇到了很多面临职业转型的学员,所以本课程我们开通课程售前群,如果大家有意向参加课程学习,欢迎入群咨询,由讲师亲自为各位答疑解惑。
添加微信 ssywtt 入群,留言:验证咨询
图片


课程导读:

数字芯片验证领域薪酬水涨船高,IC设计验证应届生工资水平已经赶超互联网大厂的IT工程师,验证相关专业应届硕士薪酬已达30-60w。在全球经济持续低迷的前提下,IC行业逆势走高,验证人才市场供不应求,产生了诸多求学诉求,我们也接到了诸多“天坑专业”的同学对数字芯片设计验证课程的咨询。
所以近期EETOP创芯大讲堂经过一年的潜心准备将为大家提供一套完整,极具学习深造价值的数字验证系列课程《0基础学习数字芯片设计验证——从入门到实战》。
从基础到实操,跟着我们step by step学习,从Verilog HDL最基础的表达式入手,经过System Verilog面向对象的洗礼,最终走向UVM验证方法学的彼岸。我们提供Linux学习平台以及EDA的相关安装包服务,为学员搭建学习数字芯片设计验证的最佳路径,扫清学员在学习数字芯片设计验证中一切障碍,全面掌握业界通用的数字验证项目开发体系与流程,最终达到对芯片验证入门上手效果,助力学员在数字芯片设计验证领域顺利就业。



课程讲师:

image.png


于兆杰博士


本课程讲师为大家已经非常熟悉的前华为海思于兆杰博士,于博士之前在创芯大讲堂平台上也开通过一系列的验证免费课程,深受用户喜爱。曾就职于知名大厂,数字芯片验证经验丰富,经过多年的基层编码以及一流研发体系的训练,除了对数字芯片验证技能有深刻的见解,另外对芯片设计验证研发体系也了然于心。



课程原价6999,属于系列连载课程,总共99个学时。对于熟悉验证行业的同仁都会清楚此课程已具有极高的价格优势。
在课程更新二分之一前预购的同学都有机会获得65折的优惠价格,同时也可以完全用65折的价格学完全部99学时的课程。所以提前购买,优惠多多!
原价6999¥目前课程价格仅 4549¥!
连载更新超过二分之一课时后课程将恢复原价,请大家及时购买。


图片


扫描上方二维码,直接购买65折课程



凡在创芯大讲堂上学过前期课程的老学员,也可以添加微信“ssywtt”,获得《零基础学习数字芯片设计验证从入门到实战!》课程的内部学生价。同时购买完课程的同学添加微信ssywtt也可以获得课程的实操平台地址。


同时本课程有设置了课程答疑群,大家可以添加微信“ssywtt” 拉大家进入EETOP的数字验证课程学习群。


图片


本课程总共99学时,全部学完并顺利完成大作业部分的同学,EETOP将帮助推荐给相关用人单位。


课程第一部分为《数字芯片验证通识》本部分我们已全部为大家开放为免费学习,总共8个学时。


  • 课程第二部分为《Verilog  HDL入门》共18课时
  • 课程第三部分为《System  Verilog入门》共18课时
  • 课程第四部分为《UVM验证入门》共23个课时
  • 课程第五部分为《实验训练总结》共33个课时



课程介绍
芯片工程师的春天终于来了,再也不用去羡慕软件工程师了!近几年,芯片行业当属最火爆的行业!随着中美之间高科技的较量,芯片被提上了前所未有的高度,不论是5G时代的物联网,还是近期对元宇宙的畅想,无疑给原本火热的芯片行业又是火上浇油。 
事实上,随着通信技术不断的向前发展(4G->5G->6G……),硬件信息处理能力的要求也在日益强劲,生活中更多的应用被激发,当下各类RISC_V架构的处理器,面向图像以及通用任务处理的GPU以及GPGPU,面向数据中心的DPU,以及各类AI芯片,每一种都在继续追随摩尔定律,芯片规模越来越大,性能越来越强,功能越来越复杂。
功能的复杂给验证带来挑战,芯片功能验证的重要性日益凸显,因此业内对数字芯片验证工程师需求极大,一般情况下,成熟芯片研发项目的设计工程师与验证工程师的比例达到1:2乃至1:3。然而,当下国内高校的芯片验证课程几乎为0,或者说即使是微电子或者集成电路相关专业科班出身的同学,对于芯片验证的知识和理解也是非常有限,这就给其他专业想进入这个行业的工程师一个绝佳机会。
数字芯片验证领域薪酬水涨船高,IC设计验证应届生工资水平已经赶超互联网大厂的IT工程师,并且相关人才依然供不应求,产生了诸多的求学诉求,我们接到了诸多“天坑专业”的同学对数字芯片设计验证课程的咨询。所以近期创芯大讲堂经过一年下潜心准备将为大家提供一套完整,极具学习深造价值的数字验证系列课程《0基础学习数字芯片设计验证——从入门到实战》。
从基础到实操,跟着我们step by step学习,从Verilog HDL最基础的表达式入手,经过System Verilog面向对象的洗礼,最终走向UVM验证方法学的彼岸。我们提供Linux学习平台以及EDA的相关安装包服务,为学员搭建学习数字芯片设计验证的最佳路径,扫清学员在学习数字芯片设计验证中一切障碍,全面掌握业界通用的数字验证项目开发体系与流程,最终达到对芯片验证入门上手效果,助力学员在数字芯片设计验证领域顺利就业。



课程理论部分


通过对芯片设计验证行业以及芯片设计验证工程师的工作内容做出梳理,引导大家树立对芯片设计验证领域正确的认识,从芯片设计的基础语言Verilog HDL讲起,从最基础的概念讲起,并将每一个知识点转换到实操当中,一开始就让大家感受到EDA软件的操作,借此将学员设计语言障碍清扫干净,同时也为大家更好的开展验证,以及后面的设计代码debug打下基础。
第二部分,进入System Verilog基本知识点的讲解,着重强调SV的数据结构和面向对象特征,将对面向对象的封装,继承,多态予以重点介绍,每一个知识点都将引入具体的实操代码,生动的讲解各种特性,可以看到真实的仿真结果,随后引入SV语言的数字芯片验证平台搭建流程,对验证平台中Driver、Monitor、Reference Model、Checker、Scoreboard等验证组件的功能以及搭建方法进行讲解。并引入实际的example进行现场实操演练,以此让大家掌握SV的验证平台搭建基本流程。
第三部分,在第一部分Verilog HDL和第二部分System Verilog基础之上,对当下最流行的通用验证方法学(UVM)的各种组件搭建方式进行讲解,从UVM的factory模式,config机制以及override机制出发,对其组件之间TLM传输方式和phase机制进项详细讲解,让学员通过各种实例操作,掌握UVM的框架结构。课程讲解过程中,通过一个个的example手撕代码,对内容进行加深理解。



项目实训部分

本课程讲师曾就职于知名大厂,数字芯片验证经验丰富,经过多年的基层编码以及一流研发体系的训练,除了对数字芯片验证技能有深刻的见解,另外对芯片设计验证研发体系也了然于心。因此本课程的实训项目,借鉴知名大厂实训项目,整个实操通过一个完整的实例搭建,包括测试点分解、前端设计代码的书写、验证平台的搭建,以及每个阶段一系列研发文档的输出、实操环节,让学员掌握数字芯片流程中的每个环节,最终通过覆盖率的收集,检查项目验证的完备性,最终达到对芯片验证入门上手的效果。


图片


扫描上方二维码,直接购买65折课




本课程适合所有想进入数字芯片验证领域的专业以及非专业人士


  • 理工科类本科及研究生相关专业:微电子,集成电路,电子信息,计算机,通信工程,自动化,机械电子,电气工程等专业。
  • 理科类本科及研究生不相关专业:生物工程、化学工程与工艺、物理、材料工程等非集成电路相关专业,有意投身半导体事业的同学。
  • 有意向转入IC行业的在职人士。


课程大纲:



课程大纲内容简介
1

数字芯片验证通识

(8课时)

第1讲数字芯片验证通识——数字芯片设计流程

第2讲数字芯片验证通识——数字芯片设计流程

第3讲数字芯片验证通识——数字芯片设计流程

第4讲开展数字芯片验证工作——上手操作一个简单的数字芯片验证过程

第5讲开展数字芯片验证工作——数字芯片验证研发流程(上)

第6讲开展数字芯片验证工作——数字芯片验证研发流程(下)

第7讲数字芯片验证基本技能——inux基础Vi编辑基础知识

第8讲数字芯片设计基本技能——She常见指令与gvim编辑器实操演示

2

VerilogHDL入门

(17课时)

第9讲VerilogHDL设计module结构分解认知

第10讲表达式操作符与操作数详解

第11讲VerilogHDL组合逻辑实现方式讲解与EDA实操(上)

第12讲VerilogHDL组合逻辑实现方式讲解与EDA实操(下)

第13讲VerilogHDL时序逻辑实现与EDA实操(上)

第14讲VerilogHDL时序逻辑实现与EDA实操(上)

第14讲VerilogHDL条件语句详解

第15讲VerilogHDL门级建模、数据流建模、行为级建模讲解与EDA实操

第16讲系统任务与系统函数以及codingstyte

第17讲高性能电路设计

第18讲数字电路复位

第19讲状态机(上)

第20讲状态机(下)

第21讲时序与时钟

第22讲异步时钟域的同步处理方式

第23讲握手协议详解

第24讲FIFO设计

第25讲低功耗设计有哪些?

3

SystemVerilog入门

(18课时)

第26讲SystemVerilog数据结构:数组、队列、结构体、枚举等讲解

第27讲SystemVerilog数据结构:数组、队列、结构体、枚举等调用函数EDA实操

第28讲SystemVerilog面向对象在验证中的应用——封装、继承、多态(上)

第28讲SystemVerilog面向对象在验证中的应用——封装、继承、多态(下)

第30讲SystemVerilog随机以及随机化的对象

第31讲SystemVerilog约束以及约束的对象

第32讲SVA讲解

第33讲SVA实操练习

第34讲覆盖率

第35讲覆盖率驱动验证

第36讲线程的概念

第37讲旗语和信箱简介

第38讲SystemVerilog验证平台简介

第39讲SystemVerilog中激励的发送

第40讲SystemVerilog中Checker的建立

第41讲SystemVerilog封装在tb中的应用

第42讲标准SV验证平台搭建

第43讲功能覆盖率的建立

4

UVM验证入门

(23课时)

第44讲什么是UVM,UVM与验证的关系

第45讲初步认识一个UVM验证环境

第46讲驱动设计——uvm_driver

第47讲interface和transaction的作用

第48讲env的在环境中的作用

第49讲monitor和agent的在环境中的作用

第50讲rm和scoreboard的的作用

第51讲sequence和sequencer的的作用

第52讲configuration和factory机制

第53讲TLM通信机制简介port和export

第54讲IMP的通信方式

第55讲FIFO的通信方式

第56讲uvm的phase机制

第57讲sequencer和driver的通信机制

第58讲如何发送sequence

第59讲UVM寄存器模型

第60讲前门访问和后门访问

第61讲实操UVM验证环境打印debug信息

第62讲实操激励的产生以及修改随机和约束

第63讲实操组件的配置

第64讲实操采样设计信号以及做checker

第65讲实操sequence的发送机制

第66讲实操寄存器模型

5

实验训练总结

(30课时)

第67讲实训PPU项目芯片规格熟悉(上)

第68讲实训PPU项目芯片规格熟悉(下)

第69讲实训PPU项目设计代码结构分析

第70讲什么是测试点

第71讲实训PPU项目测试点提取

第72讲实训PPU项目验证方案

第73讲实训PPU项目初始环境搭建

第74讲实训PPU项目环境冒烟

第75讲实训PPU项目补全driver组件

第76讲实训PPU项目补全monitor、agent、env组件

第77讲实训PPU项目补全scorboard、referencemodel组件

第78讲实训PPU项目补全sequence和testcase

第79讲实训PPU项目代码覆盖率分析

第80讲实训PPU项目功能覆盖率

第81讲cornercase的补充与完善

第82讲验证报告的书写(上)

第83讲验证报告的书写(下)

第84讲PPU项目验证总结,问题回溯

第85讲IIC实训项目规格讲解

第86讲如何开展相关协议的学习

第87讲IIC实训项目设计代码结构分析

第88讲提取IIC的测试点

第89讲IIC验证方案指定

第90讲IIC验证仿真环境搭建(上)

第91讲IIC验证仿真环境搭建(中)

第92讲IIC验证仿真环境搭建(下)

第93讲IIC环境冒烟

第94讲IIC的case补全

第95讲IIC的覆盖率驱动验证流程

第96讲IIC补全case

第97讲IIC验证报告

第98讲IIC芯片验证总结

第99讲数字芯片设计验证关键知识点总结


扫描下方二维码,直接购买65折课程


图片



点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 8

    关注
  • 133

    粉丝
  • 565

    好友
  • 228

    获赞
  • 200

    评论
  • 49276

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 14:23 , Processed in 0.038842 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部