maqingnian1234的个人空间 https://blog.eetop.cn/1794971 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

关于visual sequence中约束问题

热度 11已有 803 次阅读| 2022-9-9 09:48 |个人分类:ic验证|系统分类:芯片设计| UVM

一、目的或问题

为了能在virtual sequence中实现统一的约束管理,方便在单一验证场景但测试数据量巨大的情况下完成多case回归收集覆盖率,可以如此实现。

二、思路

首先通过使用uvm_do_on_with,发送约束。

约束名字必须是seq中定义的中间变量,不可以是transaction,因为如果这样uvm会报一个返回空指针的错误,原因是,transaction没有被例化,地址空间不存在。

`uvm_do_on_with(tr,sqr,{tr.var == 1};)只能发送一个包,如果seq中其他逻辑恐怕就不好说了。

三、实现方法

大致实现方法如下。

1、class vseq;

 `uvm_do_on_with(seq,p_sequencer.vsqr,{value==0;});

2、

    class seq

        rand bit value;

        transaction tr;

        ...

        tr.value = value;

1

点赞

刚表态过的朋友 (1 人)

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 0

    粉丝
  • 0

    好友
  • 1

    获赞
  • 0

    评论
  • 0

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 18:19 , Processed in 0.023108 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部