在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (87) |订阅

IC验证讨论 今日: 2 |主题: 6524|排名: 29 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
悬赏 [求助] 后仿axi resp返回2 新人帖 - [悬赏 50 信元资产] New wsser 昨天 18:33 152 wsser 昨天 18:39
[求助] 请问:为什么我的sequence会卡在`uvm_send环节了呢?  ...2 oscillator_cn1 2014-6-18 148099 tongxuext 昨天 00:17
[求助] VCS编译XILINX的IP库:Error-[URMI] Unresolved modules attachment DerekYeung 2017-8-25 712498 BellaYang 前天 17:52
悬赏 [求助] 初始化到ram中 新人帖 - [悬赏 10 信元资产] 麋鹿ggboom 2024-2-5 6702 1243731713 前天 15:50
[求助] vcs后仿使用SDF反标出现负延时warning怎么解决 New 1243731713 前天 14:57 038 1243731713 前天 14:57
悬赏 [求助] 求一份DWC_usb3_user的文档 - [悬赏 500 信元资产] New ll_uestc 前天 12:36 085 ll_uestc 前天 12:36
[求助] XA 验证模块端口波形看不到问题求助 New shenao3000 前天 10:25 041 shenao3000 前天 10:25
[求助] I2C的VIP如何写adapter? New eason_yang 3 天前 053 eason_yang 3 天前
[求助] 开源riscv项目,cva6中有些文件怎么找不到? New 追乐人66 3 天前 035 追乐人66 3 天前
[求助] VCS仿真同沿采样问题 New junxun2b 6 天前 2108 shaoqingtju 3 天前
[求助] 求助:关于upf在rtl仿真阶段的问题? New 时之沙 7 天前 6103 时之沙 3 天前
[求助] 后仿出现sdf文件反标不上单元库的情况,怎么解决 新人帖 1243731713 2024-4-17 2122 1243731713 4 天前
[求助] Verdi 打开fsdb无波形和代码显示 新人帖 attach_img  ...2 JIANGNNA 2022-4-18 137387 willchen 4 天前
[求助] synopsys的vcs安装有误——/bin/sh: 0: Illegal option -h 新人帖 New great_sun 5 天前 274 kang98253 5 天前
[求助] *Verdi* Failed to load FSDB dumper New mioyo 6 天前 159 mioyo 6 天前
[求助] 断言如何实现检测信号A两次上升沿之间的时间要大于20ns?? became_an_icer 2024-4-17 8172 became_an_icer 6 天前
[求助] AHB monitor 采样问题 zhuimeng2020 2024-4-10 2178 zhuimeng2020 2024-4-17 22:57
[求助] S家USB VIP,DUT为device,SS速度,数据传输完成,但是环境停不了 ll_uestc 2024-4-17 089 ll_uestc 2024-4-17 15:36
[求助] IC验证vs设计 yhcao 2024-4-17 0159 yhcao 2024-4-17 10:01
[求助] VCS vlogan三步法仿真VIP验证 attach_img 我不是三毛 2024-2-23 1422 zya1314125 2024-4-17 01:06
悬赏 [求助] AXI VIP设置slave的outstanding为1后,设的是aw通道的outstanding还是w通道的 - [悬赏 8 信元资产] verifier_TDL 2024-2-27 3388 bai252003 2024-4-16 16:02
悬赏 [求助] VCS编译VERA产生的文件时VMC错误 - [悬赏 50 信元资产]  ...2 zhalvin 2010-7-20 1720642 jndxtianyi 2024-4-16 10:39
[求助] 好用的ucli命令save和restore  ...2 我不是三毛 2021-3-15 158287 bai252003 2024-4-16 10:27
[求助] 关于IMC 中expression 覆盖率中term :T1 T2的理解 新人帖 IC大白菜 2023-6-1 71042 JJY12138 2024-4-15 16:40
悬赏 [求助] verilog 代码 出现错误 extract failed for cellview,请问这个怎么解决? - [悬赏 50 信元资产] 吾心归处 2024-4-14 1190 吾心归处 2024-4-14 10:26
[求助] AHB VIP遇到的问题 attach_img tommi.wei 2024-4-3 2195 tommi.wei 2024-4-13 10:58
[求助] Tessent Redundancy Analysis 新人帖 GartLeo 2024-4-12 0123 GartLeo 2024-4-12 16:17
[求助] 模拟芯片里的数字部分如何验证 Achage 2024-4-11 3247 gychen1993 2024-4-12 10:22
[求助] 谁能给我一个使用 vcs 编译 c model仿真的脚本 wenjohnny 2023-7-18 81543 kang98253 2024-4-12 10:07
悬赏 [求助] CSMC180 工艺label不识别 新人帖 - [悬赏 100 信元资产] attach_img zxk198451 2022-4-15 61685 红红的西瓜 2024-4-11 11:22
[求助] Verdi的Error View如何使用,或者如何把uvm的error打印在Verdi的nWave窗口标尺上? a1872040489 2024-4-9 1140 xuxuxu666 2024-4-10 18:03
[求助] [求助]Verdi多bit信号一直显示为0到0的跳转 新人帖 attach_img tongxuext 2024-4-5 6320 yorkt 2024-4-10 08:46
[求助] system verilog参数化的类 xuhaoee 2014-12-31 65010 lanzhou923 2024-4-9 16:00
[求助] verdi看不了覆盖率怎么解决 lalala. 2019-6-15 93968 皓辰 2024-4-9 14:54
[求助] S家APB VIP如何关闭reg_model操作打印 attach_img liheng369 2023-8-22 8847 liheng369 2024-4-9 14:13
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 05:25 , Processed in 0.028291 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块