EETOP 创芯网论坛 (原名:电子顶级开发网)
标题:
大家帮我看一下,为什么乘法器的输出是不定值
[打印本页]
作者:
XAUT-海洋
时间:
2018-3-12 09:53
标题:
大家帮我看一下,为什么乘法器的输出是不定值
`timescale 1ns/1ps
`include "/multiplication.v"
module test;
reg clk;
reg [3:0] A,B;
wire [7:0] C =0;
multiplication4_4 m1(A,B,C,clk);
always
#10 clk = ~clk;
initial
begin
clk = 1'b0;
A = 4'b1111;
B = 4'b0000;
#200 B = 4'b0001;
#200 B = 4'b0010;
#200 B = 4'b0100;
#200 B = 4'b1000;
end
initial begin
$fsdbDumpfile("tb.fsdb");
$fsdbDumpvars();
end
initial #1000 $finish;
endmodule
复制代码
作者:
gaurson
时间:
2018-3-13 14:26
06行的wire是接的乘法器的输出吗?为什么要=0?
作者:
XAUT-海洋
时间:
2018-3-13 19:47
回复
2#
gaurson
这个只是给输出一个初始值,也可以不给。可以忽略这个
作者:
XAUT-海洋
时间:
2018-3-13 20:06
回复
2#
gaurson
问题已经解决了,谢谢你的帮助
作者:
gaurson
时间:
2018-3-14 14:20
回复
4#
XAUT-海洋
怎么解决的?
作者:
江山无限辉
时间:
2018-3-17 08:48
怎么解决的不说一下?
作者:
XAUT-海洋
时间:
2018-3-17 11:01
回复
5#
gaurson
我在乘法器中的语法错了,赋值时没用assign
欢迎光临 EETOP 创芯网论坛 (原名:电子顶级开发网) (https://bbs.eetop.cn/)
Powered by Discuz! X3.5