在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6304|回复: 12

[求助] 请问Synplify可以读入.f(filelist)文件吗?

[复制链接]
发表于 2019-1-10 16:48:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 XuJian92 于 2019-1-10 16:49 编辑

请问各位大神,在用synplify综合的时候,除了读入hdl文件,可不可以读入filelist文件呢?因为.v文件实在太多了,而且层级比较多,所以各模块都有自己的.f文件,希望最后直接吃进来所有的.f就好了。或者各位有没有什么其他的好办法来做?先行谢过了!!!抱拳了!!!
发表于 2019-3-20 16:04:48 | 显示全部楼层
楼主,你最后是怎么解决的呢?
发表于 2019-5-12 15:44:23 | 显示全部楼层
做个脚本就好了
set flist “ xxx/file.v \
xxx/file2.v”

foreach i $flist {
add_file -verilog $i
}
发表于 2020-3-6 09:14:43 | 显示全部楼层
做个脚本,读入文件列表树,深度优先遍历,转成一个tcl文件,每行add_file xxx,最后source这个tcl就行了

点评

谢谢楼主,vivado 中使用add_file xxx.v 做成脚本非常好用!  发表于 2022-11-23 20:50
发表于 2021-4-26 14:09:41 | 显示全部楼层
这个脚本是什么格式的,怎么运行呀
发表于 2021-12-31 23:12:54 | 显示全部楼层
楼主您好,想请问下是否遇到过add_file时文件顺序的问题呢?我在irun里编译OK的,但是filelist打平之后导入synplify综合,经常遇到include或者define宏的问题,请问楼主是怎么处理的呢?
发表于 2022-7-7 22:17:12 | 显示全部楼层
请问楼主问题解决了吗
发表于 2022-7-22 06:14:43 | 显示全部楼层
好东西
发表于 2022-7-22 11:31:16 | 显示全部楼层
用脚本处理.f文件生成tcl文件。

我用的vim 正则表达式处理, vim -c "cmd code" chip.f
发表于 2022-8-31 09:21:40 | 显示全部楼层
我写了一个python脚本,从filelist直接生成synplify的工程文件,把fpga型号都给加进去,add file,set_option -include_path,   -hdl_define 什么的,把路径和define从filelist中摘出来,写成.prj文件,直接用synplify打开就可以了。
然后我顺便又写了从filelist到vivado prj。python脚本就干了2个事,第一个从filelist提取 .v .sv,提取define ,提取incdir,生成3个list;第二个从这3个list生成prj文件,add file,set include ,set define,set fpga。 语法规则在工具自己保存的prj,或者vivado的jou文件中有示例。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-17 00:04 , Processed in 0.037677 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表