在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1531|回复: 3

[求助] CTS是否会长平的问题?

[复制链接]
发表于 2018-12-6 10:12:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
当前我们的设计时钟系统被设计成下面这个样子,多个时钟源经过一个mux(giltch free)的结构,被选出来一个用作后面电路的工作时钟,要求是动态可切换,现在我的方式是在时钟源处create clock,然后在mux之后再重新create一个clock,这种情况是不是能够保证mux之前的clock可以长平,同时mux之后的clock也是长平的?常规做法是在红圈点处generate clock,但是我后面的逻辑只是和红圈点相关,所以create clock,我担心是这样新create一个clock后,两个create出来的clock如何生长,比如CLK0到红圈处如何生长,也是长平吗?
新建 Microsoft Visio 绘图.png
发表于 2018-12-6 11:35:56 | 显示全部楼层
个人认为:CTS 可以分步做:先长MUX后的时钟树,然后把MUX的输入端设成floating pin,再长前面的。前面平了,整个就平了。
 楼主| 发表于 2018-12-6 16:51:10 | 显示全部楼层
回复 2# blueDream_chen


    再追问一个问题,从一个create clock上我generate出几个clock,这几个clock在CTS时是都长平吗?如果此时我通过set_clock_group选项来将这几个时钟约束成async,是不是意味这几个时钟不会长平在CTS时,还是无影响,还是会长平,但是STA不会做时序分析而已?
发表于 2018-12-12 15:19:02 | 显示全部楼层
1.如果两个都是create_clk,且source不在同一个点时,tree是不会长成一样长的。
2.generate clk是会长成一样长的,一般是不会check 异步时钟的。
有点好奇的是generate clk和create clk应该算是同步,你是如何把它设为异步的?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 16:13 , Processed in 0.020670 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表