在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1957|回复: 1

[求助] 如何尽可能的将clock latency做的小?

[复制链接]
发表于 2018-11-8 14:42:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求助各位大佬,block中如何尽量减小clock path的latency?
发表于 2018-11-8 16:14:30 | 显示全部楼层
set_balance_point 对指定pin的时钟树做短
观察做好的时钟树结构,观察是否因为软件强制一条时钟路径与另一条时钟路径做平,而两个reg之间并没有连接关系,在CTS阶段禁掉
采用特殊的时钟结构,比如fishbone Htree clockmesh都可以提高时钟树的质量
也可以减小core区域面积使cell之间距离更短以减小clock latency
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 00:00 , Processed in 0.016352 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表