在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4363|回复: 4

[求助] AMS数模混合仿真,输出波形不正常

[复制链接]
发表于 2018-9-12 20:29:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大佬们,最近小弟在学verilog和ams混合仿真,在论坛上看的教程,现在仿真环境全部搭好了,也能仿真。仿真组合逻辑的时候没什么的问题,但是我试着写了个case语句,逻辑很简单就是用计数器计10个周期,一个周期是1微秒,第一个周期Vsa输出高电平,然后变低,第十个周期时,eco变高,然后重复这个过程,connect_rule选的18v_full_fast,电源也是给的1.8V。然后我发现仿真的逻辑是乱的,仿真的结果是每5个周期Vsa就会输出一段特别窄的脉冲,然后eco也是5个周期就变高1次。我换spectreverilog仿真是正常的,用modelsim仿真也是正常的,不知道ams到底哪里没弄对,求大佬解惑!!
TIM截图20180912201534.png


20180912201705.png
发表于 2018-9-13 17:32:11 | 显示全部楼层
回复 1# ly769080901


    1. ADE->Outputs->Save All,存储所有节点的电压。

   2. ADE-> Tools -> Results Browser, 打出verilog 内部模块的时钟,rst,以及关键信号是不是如预期。
发表于 2018-9-14 11:52:28 | 显示全部楼层
里2个项目/编号;
 楼主| 发表于 2018-9-14 17:20:35 | 显示全部楼层
回复 2# JoyShockley
谢谢版主大大,我已经解决了,是我的clk时钟上升沿的问题,我没给上升下降时间,导致一个上升沿会被识别成很多个,所以出现了逻辑误判。把clk上升下降时间设小一点,或者采用connect Rule采用18_basic都能正常仿真。
发表于 2019-7-2 09:36:34 | 显示全部楼层
请问大家,ADDR<15:0>总线的16进制波形怎么不能作为一个整体plot?急
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 18:07 , Processed in 0.027213 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表