在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4273|回复: 6

[求助] SDC file是什么

[复制链接]
发表于 2018-9-12 10:11:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
SDC file里的内容一般会是什么?在哪一步生成?求专家科普,谢谢!
发表于 2018-9-12 12:03:54 | 显示全部楼层
约束文件撒
发表于 2018-9-12 15:27:24 | 显示全部楼层
应该是综合时候生成的吧
发表于 2018-9-12 15:38:52 | 显示全部楼层
SNPS design constrain, 是新思标准的时序约束信息 包括create_clock, generated clock, virtual clock, clock transition, uncertainty, multicycle, I/O delay和 disable timing这类内容; 应该是综合之后会给?
发表于 2018-9-17 09:44:56 | 显示全部楼层
谁做DC谁给。
发表于 2018-9-18 14:59:17 | 显示全部楼层
DC综合之后会写出一个sdc
发表于 2020-2-27 18:30:29 | 显示全部楼层
SDC是IC设计师自己编写的设计约束文件,给DC综合使用的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:27 , Processed in 0.024945 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表