在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7488|回复: 10

[求助] 请教uvm中void'(uvm_config_db#(int)::get())的void'是什么意思

[复制链接]
发表于 2018-8-9 11:22:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教uvm有的语句是 if(!uvm_config_db#(virtual ubus_if)::get))  有的是void‘(!uvm_config_db#(virtual ubus_if)::get))    有什么区别  void‘是什么意义
发表于 2018-8-9 12:12:57 | 显示全部楼层
void 表示不考虑返回值
发表于 2018-8-10 09:22:21 | 显示全部楼层
本来config_db函数是有返回值用于表示该函数的操作是否成功的,加上void以后,可以认为该函数没有返回值。
发表于 2018-8-10 14:27:56 | 显示全部楼层
楼上两位正解,具体使用可以参考软件编程C语言,意义差不多。
发表于 2018-8-10 17:47:11 | 显示全部楼层
对于有返回值的函数加上void’操作符的意思就是告诉仿真器这个函数虽然有返回值,但是我不需要这个返回值。其实你完全可以不加上void',加上这个的唯一好处就在于你可以让仿真器闭嘴:本来函数有返回值,但是你不使用,这时候仿真器会抛出警告。加上void'可以关闭这个警告,让仿真log更干净点而已。
发表于 2018-8-12 16:53:35 | 显示全部楼层
回复 5# saipolo


    学习了 感谢!
发表于 2020-12-2 21:26:37 | 显示全部楼层
学习了,谢谢
发表于 2021-8-30 15:25:19 | 显示全部楼层
谢谢
发表于 2022-1-27 11:07:54 | 显示全部楼层
学习了,感谢

发表于 2022-2-4 22:01:54 | 显示全部楼层
void'(xxx)就是把返回值丢掉
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 23:40 , Processed in 0.029776 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表