在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9300|回复: 6

[求助] 怎么用做IC617小规模数字电路设计与仿真

[复制链接]
发表于 2018-7-8 13:27:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人从事GaAs/GaN工艺的MMIC设计,常见单功能/多功能MMIC都设计过一些,稍微复杂一点的数字电路也做过(对GaAs而言,一两百个晶体管基本上算复杂数字电路了),想学习一下Si基RFIC的设计流程(RF+少量Logic Circuit)。
小白一个,cadence的工具实在是太大了,由于资源有限,自己仅在虚拟机上安装了centos7(64bit)+ic617(lnx86)+mmsim15+calibre2017用于学习。

目前virtuoso画原理图、verilog-a、Spectre常规仿真都OK。刚刚尝试纯Verilog仿真就遇到问题没法继续往下进行了。

首先,我是看网上介绍说,virtuoso是具备完整digital工具的。



Integrated Circuit (Virtuoso): IC 6.1.7 (IC06.17.708_Hotfix)
IC617是Cadence知名的Virtuoso定制芯片设计工具套件,在定制芯片设计中占据统治地位——Virtuoso在全定制芯片(Full Custom)和AMS(Analog Mixed Signal)混合信号芯片/版图layout)工具市场上占据接近80%的市场份额!Virtuoso是绝大多数定制芯片设计用户所寻求的工具(EDA of Choice)。Cadence在定制芯片设计市场上的影响如此之大,以至于各个主流芯片代工厂商Foundries基本上都专门为其开发PDK供给芯片设计客户使用。有许多人将IC Virtuoso这工具套件用作纯粹模拟/射频设计用途,这其实只用到了其中的一部分能力。Virtuoso最大的功用还是在混合信号(数字模拟——即Mixed Signal)芯片设计上,但也可以用作全定制数字(Full Custom Digital)芯片设计(是的,Virtuoso可以用来设计全定制数字芯片!——这就是为何Virtuoso包含了Verilog/Vhdl以及Digital Implemantion等工具/功能在内。国内芯片设计业似乎大都对此并不是很清楚)!Virtuoso包括了前端到后端的全流程设计功能。与其他工具如多模仿真工具和物理验证工具等结合在一起使用构成了完整的定制芯片设计流程。需要指出的是Cadence每月都对其EDA工具中的某些工具进行升级,包括程序中的错误修正(bug fixings)和功能改进(很多都是用户建议的改进)等。大部分新功能的增加或旧功能的去除都是逐步渐进式(incremental)通过Hotfix版完成的。对Virtuoso来说通常是二至三个月出版一个Hotfix版进行升级。




我从最简单的开始,新建一个cell:view叫inv:verilog,代码就没必要贴了吧,相信大神都懂。然后在Verilog的文本编辑器界面点击Check & Save按钮,提示我找不到ncvlog的executable,让我指定路径。我不知道是不是我环境变量没配置好,但我都是参考网上教程安装、配置的IC617和MMSIM15的。


于是我查找了ncvlog的路径在/cds/IC617/tools.lnx86/inca/bin/64bit/ncvlog,于是我把/cds/IC617/tools.lnx86/inca/bin/64bit添加到PATH里去了,LD_LIBRARY_PATH也添加了对应的lib路径。但好像因为它的search path是/cds/IC617/tools/bin/64bit,还是提示找不到ncvlog,于是我又在/cds/IC617/tools/bin/64bit下建了一个ncvlog的软连接,这下总算是可以Check & Save了,symbol也自动生成了。


再新建了一个testbench,写了个initial产生延时和激励信号,例化了一个INV准备开始仿真。


接下来启动NC-Verilog,打开了NC-Verilog Integration的GUI界面。点击initialize成功,再点generate netlist也成功,最后点simulate就报错,提示我simluation setup的ncvlog executable的path为空。打开simulation setup界面,最底端ncvlog executable默认填写的是ncxlmode,这个可执行文件在安装路径下是不存在的,于是我直接指定为:/cds/IC617/tools.lnx86/inca/bin/64bit/ncvlog,编译成功了!



但是,simulate用的ncsim也没有,下面怎么走呢?


我在网上查了,ncxlmode已经淘汰了,现在改用irun或者incisive,irun我不知道是个啥东西怎么安装,incisive我看了,安装包都将近10G,实在不想装。


请问各位大神,IC617不安装INCISIVE可以做简单的数字电路设计吗?nc-verilog究竟怎么配置才能simulate?因为我不是专门做数字IC的,仅仅是RFIC中需要少量逻辑电路,比如SPI配置内部寄存器这种规模。




提前感谢各路大神啊!
发表于 2018-7-9 21:37:13 | 显示全部楼层
nc verilog是incisive的组件
 楼主| 发表于 2018-7-14 21:46:03 | 显示全部楼层
回复 2# zzczx


   谢谢,我已经安装INCISIV131了,虽然不是最新的工具,但是先学习看看
发表于 2018-10-24 15:50:09 | 显示全部楼层
回复 3# xhlyuestc
请问你的问题解决了吗,我目前也有相似问题,能否交流一下。谢谢!
发表于 2018-11-25 08:47:36 | 显示全部楼层
学习中。。。。。。。。。。。。。。
发表于 2019-6-13 16:00:05 | 显示全部楼层
大神 能否分享下你装的工具的安装包 非常感谢了 ic617 mmsim calibre 和incisive
发表于 2019-11-26 22:29:42 | 显示全部楼层
I had the same problem, yet I am a digital designer.
I am now trying to study mix mode simulation for my projects.
Currently, I build analog model from Verilog code and there are lots of real number signals.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 14:26 , Processed in 0.024268 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表