在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2149|回复: 4

[讨论] quartus调用ROM时,读出的值与mif文件不一致

[复制链接]
发表于 2018-7-2 20:49:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神,有没有遇见过利用quartus调用ROM IP 后,在IP 中memory的初始化使用mif文件。但是利用signaltap采样抓取数据时,发现读出的值与mif文件中不一致。比如:地址0在mif文件中为32'hf80_2020,signaltap中地址0的值为32’hf80_0120;读出的数据并不是全部出现错误,有些地址读出的值与mif文件一致。比如:地址1;
1、采样时钟用ROM 的时钟,出来的结果会出现错误
2、采样时钟采用高频时钟(ROM时钟的3倍),读出的值也会与mif文件不一致

请问各位有遇见这种情况的么?如何解决?
发表于 2018-7-3 09:22:40 | 显示全部楼层
是你自己用的有问题吧
发表于 2018-7-3 09:25:37 | 显示全部楼层
建议使用in system memory content editor来debug更直观。
 楼主| 发表于 2018-7-3 11:03:00 | 显示全部楼层
回复 2# 土门
应该是有问题,但是就是不知道问题在哪里。大神有什么建议么?
 楼主| 发表于 2018-7-3 11:18:46 | 显示全部楼层
回复 3# lx2116

好的,我试试。谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:03 , Processed in 0.022556 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表